自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(34)
  • 资源 (4)
  • 收藏
  • 关注

原创 QuartuesII_nios(1)--------随身笔记

1搭建步骤先硬核在软核,直到生成qsys文件,相当于一个ip。2硬件搭建问题 1,熟悉各个功能作用,比如说rom,ram,cpu中复位向量,中断向量初始化。地址分配,等2软件问题 1,首先版本要用13版本以上的,最好18版本 2,在编译时,要时刻选择保存 3,定时刷新 3,两个文件要关联 4,c语言优化关掉为了增强记忆,随身笔记...

2022-04-22 23:11:21 1011

原创 FPGA(10)dht11驱动(单总线)与单总线协议总结

总结: 通过一个星期的单总线协议(NEC协议,ds18b20协议,dht11协议)的学习,FPGA正式入门,在单总线学习中,知道FSM(有限状态机)的构造,能够独立自主构造。在单总线红外驱动解码(NEC协议)只是对输入数据的解码,串行数据,转到并行数据,也知道怎样把数据同步到主机时钟,生成上升和下降沿的标志,通过上升或者下降沿标志进行计数,进而判断高低电平,我想这是未来写通信驱动的必备环节。当然,初学者可以画波形图进行编写,现在,感觉FPGA已经入门了,无需波形图,只...

2022-02-26 13:09:00 1475

原创 FPGA(9) DS18b20驱动(状态机综合应用)

代码:module ds18b20_ctrl(sys_clk,sys_rst,data1_inout,data_out,sign); input wire sys_clk,sys_rst; inout wire data1_inout; output wire [15:0] data_out; output reg sign;//时钟定义reg ...

2022-02-25 16:23:58 542

原创 FPGA(8)红外接收(NEC协议)

协议波形代码module hongwai(sys_clk,sys_rst,data1_in,data8_out,repeat_en);//输入信号 input wire sys_clk,sys_rst; input wire data1_in;//输出信号 output reg [7:0] data8_out; output reg repeat_en;//状态机(以及转换条件)...

2022-02-24 17:12:13 286

原创 FPGA(7)DAC(DDS)

module dds_ctrl(sys_clk,sys_rst,wave_sel,A_word,F_word,P_word,data_out); input wire sys_clk,sys_rst; input wire [3:0] wave_sel; input wire [10:0] F_word; input wire [11:0] P_word; input wire [7:0] A_wo..

2022-02-24 17:03:46 371

原创 FPGA(6)电压测量(ADC)

module adc8_5v(sys_clk,sys_rst,data8_in,data16_out,adc_clkout,sign,data_medium_out); input wire sys_clk,sys_rst; input wire [7:0] data8_in;output [7:0] data_medium_out; output wire adc_clkout; output wire ..

2022-02-24 17:01:30 1663 1

原创 FPGA(5) UART_drive代码

主要思想还是时间并行,以及大计数周期的概念。module rs232_diver_RX(sys_clk,sys_rst,RX,data_RX1,data_flag); input sys_clk,sys_rst;//系统时钟,系统复位 input RX; //数据接受 output data_RX1; output data_flag; reg [7:0] data_RX1;//表示接受的数据(总线) reg [

2022-01-20 00:25:17 380

原创 FPGA(5)设置“大时钟”,驱动数码管(显示任意值,任意编码)

6位数码管扫描程序原理:就是设置持续时间间隔很长的计数器,这里共计8位数,然后将传来的数据整合转换成BCD码,然后就是每次工作在计数器为特定值工作,例如,这里当计数器为0是,进行数据处理,和数据编码,(由于我们设置的计数器时间间隔2ms或者可以更短),相对于原本时钟,占有时间很多,而数据转换,数据编码,大概最多不超过10个时钟,明显就是不会影响下个计数器的的值,这也就为下面,当有个计数器改变,输出响应的位信号,和段编码。module seg_dynamic(sys_clk,sys_rst,d..

2022-01-17 19:32:21 275

原创 FPGA(4)移位寄存器的编写方法(HC595驱动)

module seg_HC595_diver(sys_clk,sys_rst,seg,sel,stcp_reg,shcp_pose,ds,oe); input sys_clk,sys_rst; input wire [7:0] seg;//段选 input wire [5:0] sel;//位选 output stcp_reg,shcp_pose,ds,oe; reg shcp_pose;//.

2022-01-16 22:38:05 832

原创 FPGA学习(3)如何规划时间计数(例如用蜂鸣器演奏音乐)

代码:module beep(sys_clk,sys_rst,beep,key_in); input sys_clk,sys_rst; input key_in; output reg beep; //声音输出 wire key_flag; //按键脉冲 reg key_flagout; //按键电平parameter Time500ms=25'd24_999_999;parameter

2022-01-15 21:40:12 419

原创 FPGA学习(2)-按键消抖

原理对于机器开关,有抖动,在一般单片机设置时,直接检测后,如果监测到按键,然后延时,在检测,这是利用了单片机的系统结构,顺序执行。而对于FPGA,思路:(假设按键按下表示低电平),高电平时,计数值一直为0,当检测到低电平,开始计数,在此期间,如果有任何高点平,重新计数,所以在低电平稳定时,计数开始直到最大,这也表示按键稳定,而且一定消抖了,然后给一脉冲标志,表示按键按下了,但是,为了防止一直按着按键计数会自动清零,所以前面加个判断,在低电平前提下,达到最大值,计数器不变了。同时为了满足输出产生个脉冲

2022-01-12 22:49:22 322

原创 浅谈信号系统的卷积,单位冲激的概念

浅谈信号系统的卷积,单位冲激的概念

2022-01-10 19:45:53 2962

原创 数据与结构总结篇--栈与队列之括号匹配

#include<iostream>#include<stdlib.h>using namespace std;#define MaxSize 6typedef struct { char data[MaxSize]; int top;}SqStack;void InitStack(SqStack& S){ S.top = -1;}int StackEmpty(SqStack S){ if (S.top == -1) return 1; el.

2021-09-05 17:30:14 121

原创 多项式相加(数据与结构)

一、实验目的: 1.掌握用 C语言调试程序的基本方法。 2.掌握链表的建立、多项式的加减法。二、实验题目设计一个一元稀疏多项式的加减运算。如:, 三、实验要求 1.通过键盘输入两个任意多项式。先输入第一个多项式,然后输入第二个多项式。对每个多项式,先输入“项数”、分别输入各个元素的“指数”、“系数”。2.形如以下输出:A(x)=:7x^0+3x^1+9x^8+5x^17B(x)=:8x^1+22x^7-9x^8 A(x)+B(x)=:7x^0+11x^1+22x^...

2021-09-03 21:06:52 416

原创 C和C++学习笔记(1)--三目运算

#include<iostream>using namespace std;void main(){int a;int b;cout<<"请输入a,b:<<endl;cin>>a>>b;a=(a>b?a:b);//a,b的最大值cout<<a<<endl;(a>b?a:b)=100;//a,b的最大值赋值cout<<a<<endl;}...

2021-08-25 11:49:45 86

原创 MSP430X1XX系列硬件乘法器,比较器,flash讲解

一,乘法器 硬件乘法器是一个通过内部总线与 CPU 相连的 16 位外围模块。MSP430 单片机可以在部改变 CPU 结构和指令的情况下增加功能,这种结构特别适用于对运算速度要求很严格的情况。硬件乘法器大大提高 了 MSP430 单片机的数据处理能力,其支持的运算如下:硬件乘法器是外围设备,不是MSP430 CPU的一部分。这意味着,它的活动不会干扰CPU活动。乘法器寄存器是通过CPU指令加载和读取的外围寄存器。 如果一个中断发生在写入OP1之后,而在写入OP2之前,使用乘法器对该中断进行..

2021-08-22 16:37:22 1162

原创 MSP430x1xx系列ADC12与DMA(包含源码)(下)ADC12讲解

一,基础知识DAC12模块是一个12位的电压输出DAC。DAC12可以配置为8位或12位模式,并可以与DMA控制器一起使用。当存在多个DAC12模块时,可以将它们组合在一起进行同步更新操作。

2021-08-22 13:15:04 647

原创 MSP430X1XX系列定时器(包括源码)--看门狗讲解(上)

11111

2021-08-21 16:32:01 692

原创 MSP430X1XX系列的时钟,低功耗,和I/O口讲解包括源码

目录一,时钟系统1.1基本时钟系统概述1.2,寄存器DCOCTL, DCO Control RegisterBCSCTL1, Basic Clock System Control Register 1BCSCTL2, Basic Clock System Control Register 2IE1, Interrupt Enable Register 1IFG1, Interrupt Flag Register 11.3时钟代码二,低功耗2.1,特点2.2..

2021-08-21 11:01:13 622

原创 MSP430x1xx系列ADC12与DMA笔记(包含源码)——DMA讲解(中)

一,DMA基础DMA控制器模块在没有CPU干预的情况下将数据从一个地址传输到另一个地址。本章描述DMA控制器的操作。DMA控制器在MSP430x15x和MSP430x16x设备。 译文:直接内存访问(DMA)控制器在整个地址范围内将数据从一个地址传输到另一个地址,而不需要CPU干预。例如,DMA控制器可以将数据从ADC12转换内存移动到RAM。 采用DMA控制器可以提高外围模块的吞吐量。 它还可以通过允许CPU保持在低功耗模式,而不必唤醒来从外设移动数据来降低系统功耗。特点三个独立..

2021-08-20 22:23:16 718

原创 MSP430X1XX系列ADC12和DMA详解(附带程序)(上)--ADC12详解与源码

一,ADC12基本原理1.1,参考电压发生器所有的 ADC 和 DAC 模块都需要一个基准信号,这个信号就是我们常说的 Vref+,Vref-。 MSP430 的 ADC12 模块内部带有参考电源,通过控制 REFON 信号来启动内部参考电源,并且通过 REF2_5V 控制内部参考电源产生 1.5V 或者 2.5V 的 Vref+。 最后给 ADC 模块转换器的参考电压 Vr+和 Vr-通过 SREF_x 设置 6 种组合方式: Vr+可以在 AVcc(系统模拟电源),Vref+(内...

2021-08-20 16:25:57 1995

原创 TM1638模块驱动(stm32,可以显示led灯,数码管,按键操作)(包含全部功能)

#include "TM1638.h"//************************************************************#define DISP_CMD0 0x00 //4位13段#define DISP_CMD1 0x01 //5位12段#define DISP_CMD2 0x02 //6位11段#define DISP_CMD3 0x03 //7位10段 //执行DISP_CMDx会把显示亮度关掉,但显示内容不变//*...

2021-08-06 15:58:58 3535 4

原创 集成运放介绍(下)

集成运放性能的优劣,可用其主要参数来表示。为了正确、合理地选择和使用运放,必须明确其主要参数的意义。下面介绍运放的几种主要参数。1.直流参数(1)输入偏置电流IIB输入偏置电流IIB是当输出电压等于零时,两个输入端偏置电流的平均值。IIB=(IIB++IIB-)/2。理想运放的IIB=0,一般输入级为双极型三极管的运放的IIB=10nA~1μA,输入级采用场效应管的运放的IIB<1nA。(2)输入失调电压UIO输入失调电压UIO是为使输出电压为零而在输入端所需加的补偿电压,...

2021-08-06 15:30:40 5733

原创 集成运放内部电路组成(上)

目录​一,概述1.1集成运放的发展1.2集成运放特点二,电流源电路2.1镜像电流源电路2.2.改进的镜像电流源2.3微电流源2.4比例电流源三,差分放大电路差动放大电路的静态分析差动放大电路差模信号的动态分析双入单出总结:四,复合管电路五,集成运放的输出一,概述1.1集成运放的发展 随着半导体技术的发展,采用半导体制造工艺将电阻、三极管等元器件及电路的连线全部制造到一块半导体基片上,其本...

2021-08-06 15:11:23 13816 2

原创 51DAC和ADC以及为什么在DAC转换用MOVX,@DPTR,A

一,ADC的基本概念变化的物埋量,如温度、压力、流量等,这些物埋量一般是模拟量(Analog),单片机是不能直接处理模拟量的,所以要在单片机与控制对象之间增加转换装置,以实现模拟量与数字量(Digital)之间的转换。A/D转换器在单片机控制系统中主要用于数据采集,提供被控对象的各种参数,以便单片机对被控对象进行监视。将模拟量转换为数字量的装置称为模/数(A/D)转换器。ADC(AnalogtoDigitalConverter)。A/D转换器是架设在单片机和被控对象实体之间的桥梁,在单片机控制系统中..

2021-08-06 02:02:23 1844

原创 51中断与定时器的概念(下)怎样通过逻辑图和寄存器设置自己想要的参数

一,定时器概念1.概要80C51单片机的定时器/计数器之所以用“/”隔开,是因为它们是具有两种功能的同一个电路,实质上都是计数器。定时器和计数器的区别在于计数脉冲的来源不同。当单片机内部时钟振荡器产生的信号经12分频后作为计数脉冲时,由于计数脉冲的周期已知,乘以计数次数,就可以计算出从开始到结束所经历的时间,这时称为定时器。当计数脉冲是来自于单片机外部的信号,这些计数脉冲信号的频率大多是未知的,无法计算出从计数开始到结束所经历的时间,这时称为计数器。TO、T1的核心是加1计数器,即对指定脉冲进行

2021-08-05 23:35:51 607

原创 51单片机中断的调用寄存器组(PSW)的作用,以及汇编堆栈的作用,堆栈指针的SP的使用方法,RAM的运行和ROM在单片机具体运行和C语言的优势和中断,定时器基础知识(上)

一,中断和定时器的基础知识1.1中断在看逻辑图和寄存器映射编写自己的程序是要注意两点:1,逻辑关系走向,2,寄存器是否可以位寻址。CPU在正常执行程序的过程中,由于某种已经预见到的外部或CPU内部事件的发生,使CPU暂停执行当前的程序,而去处理临时发生的事件,在事件处理完毕后,再返回原先暂停的程序继续向下执行,这个过程叫做中断(Interrupt)。编者按:下图是51系列的中断(在我们现在大部分是STC89C52,它又加了额外的中断和优先级(但兼容51),这里就不详细描述,具体可以根据数

2021-08-05 16:32:09 10991

原创 51单片机串口通信(4个模式)基础知识,以及汇编程序(可移植)(有查询方式和中断方式)(时钟,机器周期得关系 12T;6T)

一,代码(ASM和C)1汇编语言(只是发送接收模板,没有校验功能)//*******************************************************//题目:/*定义串口通信的4中工作模式包括中断*///语言:汇编语言(51MCU)//功能:各种模式汇总(但接收中断没有验证位)//参考资料:STC89C52官方数据手册//!!!!!!!!!!只是各个功能得演示,没有校验过程,适合学习!!!!!//***************************.

2021-08-04 20:53:25 3601

原创 51单片机按键扫描程序汇编程序(独立按键与矩阵按键)(使用定时器)(可移植的汇编程序)矩阵键盘控制两个led数码管(汇编语言)与UART通信总结(上)

一,按键控制数码管1四按键控制程序(汇编)(您的关注点赞是作者创作的最大动力哦)//*******************************************************//题目:独立四键控制事件(按键分为有锁存和无锁存)//语言:汇编语言(51MCU)//功能:任意独立按键控制(带扫描式的)//优点:中间有20ms延时函数消抖;可以扩展任意个按键;可移植// 含扫描按键所有操作;//作者: 梦//提醒: 记得点赞哦!!!!!//转载要著...

2021-08-04 02:57:54 11479

原创 51单片机汇编注意问题(下)与51单片机LCD1602的汇编与汇编语言驱动程序(调用判忙程序,尽量少用延时)

1.汇编的笔记2:指令:DA A //当两个BCD码的二进制相加时,相加结果肯定不是BCD的值,通过此指令,结果转换BCD码过程:#低四位出现非BCD码,或进位(AC=1),低四位+6 #同样高四位一样汇编程序编译技巧:尽量使用子程序模式 子程序保护现场 中断处理最好保存状态字(PWM,ACC)(特别时用寄存器计时,要调用不同寄存器组伪指令:ORG 0030H 告诉编译器,要在此地址30H为起始位置(ROM)...

2021-08-03 15:17:31 1260 1

原创 51单片机指令汇集,分类,以及典型指令案例分析

1,指令汇集(个人总结)典型示例代码://*************************************************************//名称:两位双字节进制数相乘// (R7)(R6)=(R7)*2^8+(R6)//(R5)(R4)=(R5)*2^8+(R4)//(R7)(R6)*(R5)(R4)=(R7)*(R5)*2^16+(R7)*(R4)*2^8+(R6)*(R5)*2^8+(R6)*(R4)//********************..

2021-08-01 23:07:10 791

原创 51单片机存储器原理

一、 80C51单片机的存储器结构80C51单片机的存储器包括两类:程序存储器和数据存储器。程序存储器用来存放用户程序和常用的表格、常数,采用只读存储器(ROM)作为程序存储器。数据存储器用来存放程序运行中的数据、中间计算结果等,采用随机访问存储器(RAM)作为数据存储器。从物理地址上看,MCS-51系列单片机有4个存储器空间,即片内程序存储器和片外程序存储器、片内数据存储器和片外数据存储器。二、片内数据存储器80C51单片机的内部存储器分为内部程序存储器和内部数据存储器,.

2021-07-31 11:52:04 4954

原创 51单片机基础知识(重点)

1.什么是单片机 家用的遥控彩电、全自动洗衣机、空调、IC卡式的电度表,都是用单片机控制的。单片机是将CPU(CentralProcessingUnit)、存储器(Memory)、定时器/计数器(Timer/Counter)、I/O(Input/Output)接口电路等主要部件集成在一块集成电路上的微型计算机,简称单片机(SCM,SingleChipMicrocomputer),又称微控制器(MCU,MicroControllerUnit)。2.单片机的分类1.按单片机内部程序存储...

2021-07-30 23:55:05 2194

原创 模电数电课程设计

课程设计要求通过对硬件编程,将图形、文字、动画存储在E2PROM中,通过计数器控制图形、文字、动画的地址,在利用显示矩阵显示出来。系统所显示的内容可反复循环,直至手动或加压清零,便可回到初始地址。1)设计脉冲产生电路、图形控制电路和存储电路;2)用发光二极管点阵(8×8)作为显示电路,显示内容的动面感要强。3)图形能连续循环,图形大于64幅,图形显示间隔在20ms~2s范围内连续可调;4)能手动和加压清零功能,有自动选画功能;5)完成电路全部设计后,通过实验箱验证设计课题的正确性。各个部分原

2021-07-24 18:30:17 6246 2

2023年本科毕业设计源码

自己看 只有知道地址可以用哦

2023-06-08

seg_disp.7z

简单的6位数码管扫描程序,自己编写的,可以供大家参考(好吧其实正真目的为了防止丢失当云盘使用,笑~)

2022-01-17

信号与系统总结.zip

简单的个人总结以及部分习题(只是为了防止笔记丢失)

2022-01-10

_2linear list.zip

线性表相关代码

2021-09-03

AC_stm32_temple_keil_protues.zip

已建好模板在keil5打开就可以,并且带有固件库函数中文版说明,附带stm32的说明书

2021-07-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除