2021-05-28

该实验详细介绍了如何使用modelsim进行工程仿真,通过VHDL代码实现了一个全加器模块。实验代码展示了全加器的逻辑设计,包括XOR、AND门的使用,并在test模块中进行了不同输入组合的仿真测试,以验证全加器的功能正确性。
摘要由CSDN通过智能技术生成

一、实验名称:
1.modelsim 工程仿真流程
二、实验目的:
1.进一步学会仿真流程
三、实验截图:
在这里插入图片描述
四、实验代码:
module

ful ladd(sum,c_ out,a,b,c_ in) ;

output

sum, C out ;

inputa,b,c in;

wi re

s1, c1, c2 ;

xor

(s1,a,b) ;

and

(c1,a,b) ;

xor

(sum, s1, c in) ;

and

(c2, s1,c in) ;

or(c out, c2, c1) ;

endmodule
module test;

wire sum, C out ;reg a,b,C_ in;

fulladd

fadd(sun,c_ out,a,b,c_ in) ;

/*

initia1

begin

#15 force fadd. sun=a&b&c_ in;#20 release fadd. sun;

#10 $stop;

end

*/

initia1

begin

a=0;b=0;c_ in=0;

#10 a=0;b=0;c_ in=1;#10 a=0;b=1;c_ in=0;#10 a=0;b=1;c in=1;#10 a=1;b=0;c_ in=0;#10

a=1;b=0;c_ in=1;

#10

a=1;b=1;c_ in=0;

#10

a=1;b=1;c_ in=1;

#10

$stop;

end

endmodule

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值