密码锁测试文件

`timescale 1ns/1ns
module test;
reg clk,reset,reset2,set,enter;
reg in0,in1,in2,in3,in4,in5,in6,in7,in8,in9;
wire open,error,s_set;
wire[3:0] o_out1,o_out2,o_out3,o_out4,in,out1,out2,out3,out4;
wire[1:0] jishu;
mimasuo u1(.clk(clk),.reset(reset),.reset2(reset2),.set(set),.enter(enter),.in0(in0),.in1(in1),.in2(in2),.in3(in3),.in4(in4),.in5(in5),.in6(in6),.in7(in7),.in8(in8),.in9(in9),.open(open),.error(error),.s_set(s_set),.o_out1(o_out1),.o_out2(o_out2),.o_out3(o_out3),.o_out4(o_out4),.in(in),.jishu(jishu),.out1(out1),.out2(out2),.out3(out3),.out4(out4));
always
   #10 clk = ~ clk;
initial
begin
  clk = 0; reset = 0;in0=0;in1=0;in2=0;in3=0;in4=0;in5=0;in6=0;in7=0;
  in8=0;in9=0;reset2=0;set=0;enter=0;
  #60 reset=1;
  #200 set=1;
  #200 set=0;
  #200 in1=1;
  #200 in1=0;
  #200 enter=1;
  #200 enter=0;
  #200 in1=1;
  #200 in1=0;
  #200 enter=1;
  #200 enter=0;
  #200 in2=1;
  #200 in2=0;
  #200 enter=1;
  #200 enter=0;
  #200 in6=1;
  #200 in6=0;
  #200 enter=1;
  #200 enter=0;
  
  
  #200 in2=1;
  #200 in2=0;
  #200 enter=1;
  #200 enter=0;
  #200 in1=1;
  #200 in1=0;
  #200 enter=1;
  #200 enter=0;
  #200 in2=1;
  #200 in2=0;
  #200 enter=1;
  #200 enter=0;
  #200 in6=1;
  #200 in6=0;
  #200 enter=1;
  #200 enter=0;
  
  
  #2000 reset2=1;
  #200 reset2=0;
  #200 in1=1;
  #200 in1=0;
  #200 enter=1;
  #200 enter=0;
  #200 in1=1;
  #200 in1=0;
  #200 enter=1;
  #200 enter=0;
  #200 in2=1;
  #200 in2=0;
  #200 enter=1;
  #200 enter=0;
  #200 in6=1;
  #200 in6=0;
  #200 enter=1;
  #200 enter=0;
  
  #2000 set=1;
  #200 set=0;
  #200 in2=1;
  #200 in2=0;
  #200 enter=1;
  #200 enter=0;
  #200 in3=1;
  #200 in3=0;
  #200 enter=1;
  #200 enter=0;
  #200 in4=1;
  #200 in4=0;
  #200 enter=1;
  #200 enter=0;
  #200 in5=1;
  #200 in5=0;
  #200 enter=1;
  #200 enter=0;
  
  #2000 in2=1;
  #200 in2=0;
  #200 enter=1;
  #200 enter=0;
  #200 in3=1;
  #200 in3=0;
  #200 enter=1;
  #200 enter=0;
  #200 in4=1;
  #200 in4=0;
  #200 enter=1;
  #200 enter=0;
  #200 in5=1;
  #200 in5=0;
  #200 enter=1;
  #200 enter=0;
end
endmodule

  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值