摁键控制LED灯(FPGA)

提示:以下是本篇文章正文内容,下面案例可供参考


一、实验要求

1.无按键按下时,LED灯全灭;
2.按键1按下时,LED灯显示.自右向左的流水效果;
3.按键2按下时,LED灯显示自左向右的流水效果;
4.按键3按下时,四个LED灯同时闪烁
5.按键4按下时,LED灯全亮

二、实验原理

如图1所示,本实验使用四个按键开关控制四个LED灯

在这里插入图片描述
图 1 按键电路原理图

如上图所示,开发板上的5个按键未按下时,输出高电平,按下后,输出低电平。本实验中,系统时钟、复位按键、按键和LED灯的管脚如下表所示

在这里插入图片描述
在这里插入图片描述
图 2 触摸按键控制LED管脚分配图

LED在流水效果和闪烁效果在时间间隔均为0.2秒,因此需要在程序中定义一个0.2s的计数器,即每隔0.2s,状态计数器加一。根据当前按键的状态选择不同的显示模式,不同的显示模式下四个led灯的亮灭随状态计数器的值改变,从而呈现出不同的显示效果。

图 3  系统框图
图 3 系统框图

二、代码

1.按键控制led模块的代码如下所示:

module key_led (
	input sys_clk , //50Mhz系统时钟
	input sys_rst_n, //系统复位,低有效
	input [3:0] key, //按键输入信号
	
	output reg [3:0] led //LED输出信号
);

	//reg define 
	reg	[23:0] 	cnt;
	reg 	[1:0] 	led_control;
	
	//用于计数0.2s的计数器
	always @ (posedge sys_clk or negedge sys_rst_n) begin
		if
  • 3
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值