51单片机-IIC实验1-AT24C02数据存储(实战1)

本实验主要是针对IIC的具体案例进行实战,主要利用支持IIC通信的芯片AT24C02进行与51单片机构成通信。51(AT89C52)本身不带有IIC通信,所以,我们需要给51写一个IIC时序,以便与支持IIC协议的AT24C02数据存储芯片通信。SCL低电平允许数据变化,高电平期间,主机可以读取SDA上的数据。(掉电不丢失)

        本实验是数据存储实验,即,EEPROM的IIC通信。本实验我们会分为两个模块,一个AT24C02模块和IIC模块,也就是两个.文件。其实这个说白了,就是AT24C02,相当于IIC的一个子类。AT24C02继承于IIC。所以在应用层面,我们只对AT24C02操作,如下图画一个图。

话不多说上才艺!

第一步构建IIC基本通信函数6个:(参考上一节IIC时序)

void IIC_Start(void); //起始函数
void IIC_Stop(void);//终止函数
void IIC_SendByte(unsigned char Byte);//发送一个字节
unsigned char IIC_Receive(void); //接收一个字节
void IIC_SendAck(unsigned char AckBit); //发送应答
unsigned char IIC_ReceiveAck(void); //接收应答

第二步编写AT24C02读写操作,根据AT24C02的数据手册中相关写操作时序配置相对应的寄存器(读操作一般都有返回值)(参考上一节IIC时序含AT24C02操作)

//字节写:在WORD ADDRESS处写入数据DATA
void AT24C02_WriteByte(unsigned char WordAddress,Data)
unsigned char AT24C02_ReadByte(unsigned char WordAddress) //字节读

main.c

#include <REGX52.H>
#include "LCD1602.h"
#include "AT24C02.h"
#include "Delay.h"

unsigned char KeyNum;
unsigned int Num;

void main()
{

	LCD_Init();  //初始化LCD1602
	
	LCD_ShowNum(1,1,Num,5);  //初始置位
	
	while(1)
	{	
		KeyNum = key(); //获取键值
		
		if(KeyNum == 1)  //按键1 对数据进行加操作
		{
			Num++;
			LCD_ShowNum(1,9,KeyNum,2);
			LCD_ShowNum(1,1,Num,5);
		}
		
		if(KeyNum == 2)  //按键2 对数据进行减操作
		{
			Num--;
			LCD_ShowNum(1,9,KeyNum,2);
			LCD_ShowNum(1,1,Num,5);
		}
		
		if(KeyNum == 3)  //按键3 对数据写入操作(写入到AT24C02的EEPROM中(0-255字节))
		{
			LCD_ShowNum(1,9,KeyNum,2);
			AT24C02_WriteByte(0,Num%256);
			Delay(5);
			AT24C02_WriteByte(1,Num/256);
			Delay(5);
			
			LCD_ShowString(2,1,"Write OK!");
			Delay(1000);
			LCD_ShowString(2,1,"         ");
		}
		
		if(KeyNum == 4)  //按键4 对数据读出操作,读出写入到AT24C02的EEPROM中数据
		{
			LCD_ShowNum(1,9,KeyNum,2);
			Num = AT24C02_ReadByte(1) << 8;
			Num |= AT24C02_ReadByte(0);
			LCD_ShowNum(1,1,Num,5);
			LCD_ShowString(2,1,"Read OK!");
			Delay(1000);
			LCD_ShowString(2,1,"         ");
			
		}
	
	}
}

AT24C02.c

#include <REGX52.H>
#include "IIC.h"

#define AT24C02_ADDRESS 0XA0 //1010 0000 前四位AT24C02地址不变,最后一位决定是写还是读 1:读,即接收 0:写,即发送


//仿照帧格式去写(可参考上一节IIC时序介绍)
//字节写:在WORD ADDRESS处写入数据DATA
void AT24C02_WriteByte(unsigned char WordAddress,Data)
{

	IIC_Start();  //起始信号
	IIC_SendByte(AT24C02_ADDRESS); //发送从机地址和写操作
	IIC_ReceiveAck();  //接收应答位
	IIC_SendByte(WordAddress); //字地址:指定在WORD ADDRESS处写入数据DATA
	IIC_ReceiveAck();  //接收应答位
	IIC_SendByte(Data); //写入数据到WordAddress中
	IIC_ReceiveAck(); //接收应答位
	IIC_Stop();//结束信号
	
}



//Ack : 0:表示应答 1:表示非应答
//随机读:读出在WORD ADDRESS处的数据DATA
unsigned char AT24C02_ReadByte(unsigned char WordAddress)
{
	unsigned char Data;
	
	//写操作,就是先找到要通信的从机
	IIC_Start();  //起始信号
	IIC_SendByte(AT24C02_ADDRESS); //发送从机地址和写操作
	IIC_ReceiveAck();  //接收应答位
	IIC_SendByte(WordAddress); //字地址:指定在WORD ADDRESS处写入数据DATA
	IIC_ReceiveAck();  //接收应答位
	
	//找到对应的从机之后,开始接收从机发过来的数据
	IIC_Start();  //起始信号
	IIC_SendByte(AT24C02_ADDRESS | 0X01); //发送从机地址和读操作
	IIC_ReceiveAck();  //接收应答位
	Data = IIC_ReceiveByte();  //接收一个字节的数据
	IIC_SendAck(1); 
	IIC_Stop();//结束信号
	
	
	return Data;
}


AT24C02.h

#ifndef __AT24C02_H__
#define __AT24C02_H__

void AT24C02_WriteByte(unsigned char WordAddress,Data); //字节写:在WORD ADDRESS处写入数据DATA
unsigned char AT24C02_ReadByte(unsigned char WordAddress); //随机读:读出在WORD ADDRESS处的数据DATA


#endif

IIC.c

#include <REGX52.H>


//位声明 ,两根线定义在单片机的管脚,也就是说SCL接在P2^1管脚,SDA接在P2^0管脚,
sbit IIC_SCL = P2^1;
sbit IIC_SDA = P2^0;


//IIC的6个基本函数(符合IIC时序的基本操作,软件模拟IIC,让AT24C02继承于IIC,后期直接调用即可,无需关注底层细节,其实这个就是IIC的驱动)

//起始函数
void IIC_Start(void)
{
	
	//起始条件:SCL高电平期间,SDA从高电平切换到低电平
	IIC_SDA = 1;  //先保证SDA处于高电平状态	
	IIC_SCL = 1; 
	IIC_SDA = 0;
	IIC_SCL = 0; 	
	
}

//终止函数
void IIC_Stop(void)
{
	
	
	//终止条件:SCL高电平期间,SDA从低电平切换到高电平
	IIC_SDA = 0; //先保证SDA处于低电平状态
	IIC_SCL = 1; 	
	IIC_SDA = 1;
	IIC_SCL = 0; 	
}

//发送一个字节,发送一个字节:SCL低电平期间,主机将数据位依次放到SDA线上(高位在前),
//然后拉高SCL,从机将在SCL高电平期间读取数据位,所以SCL高电平期间SDA不允许有数据变化,依次循环上述过程8次,即可发送一个字节(可参考上一节内容)

void IIC_SendByte(unsigned char Byte)
{
	unsigned char i;
	for(i=0; i<8; i++)
	{
		IIC_SDA = Byte & (0X80>>i) ;//将数据位依次放到SDA线上(高位在前)&按位与
		IIC_SCL = 1;
		IIC_SCL = 0;
	}
	
}




//接收一个字节:SCL低电平期间,从机将数据位依次放到SDA线上(高位在前),
//然后拉高SCL,主机将在SCL高电平期间读取数据位,所以SCL高电平期间SDA不允许有数据变化,依次循环上述过程8次,
//即可接收一个字节(主机在接收之前,需要释放SDA)

//接收一个字节数据
unsigned char IIC_ReceiveByte(void)
{
	unsigned char i, Byte = 0X00; //用于保存接收的字节
	
	IIC_SDA = 1; //主机在接收之前,需要释放SDA,终止对SDA的控制
	
	for(i=0; i<8; i++)
	{
		IIC_SCL = 1; //拉高SCL,主机将在SCL高电平期间读取数据位
		if(IIC_SDA)
		{
			Byte |= (0X80>>i);
		}
		IIC_SCL = 0;  //SCL低电平期间,从机将数据位依次放到SDA线上(高位在前)
	}
	
	
	return Byte;	
}



//发送应答:在接收完一个字节之后,主机在下一个时钟发送一位数据,数据0表示应答,数据1表示非应答 (IIC时序手册)
void IIC_SendAck(unsigned char AckBit)
{
	IIC_SDA = AckBit; //应答位,SCL低电平期间,从机将数据位依次放到SDA线上(高位在前)
	IIC_SCL = 1;  //SCL从高到底,把数据放到SDA上
	IIC_SCL = 0;
	
}


//接收应答:在发送完一个字节之后,主机在下一个时钟接收一位数据,判断从机是否应答,
//数据0表示应答,数据1表示非应答(主机在接收之前,需要释放SDA)

unsigned char IIC_ReceiveAck(void)
{
	unsigned char AckBit;
	IIC_SDA = 1; //主机在接收之前,需要释放SDA
	
	IIC_SCL = 1; //高电平期间,主机可以读取IIC上的数据位
	
	AckBit = IIC_SDA;
	
	IIC_SCL = 0;
	
	return AckBit;
}














IIC.h

#ifndef __IIC_H__
#define __IIC_H__

void IIC_Start(void); //起始函数
void IIC_Stop(void);//终止函数
void IIC_SendByte(unsigned char Byte);//发送一个字节
unsigned char IIC_ReceiveByte(void); //接收一个字节
void IIC_SendAck(unsigned char AckBit); //发送应答
unsigned char IIC_ReceiveAck(void); //接收应答



#endif

LCD1602.c

#include <REGX52.H>

//引脚配置:
sbit LCD_RS=P2^6;
sbit LCD_RW=P2^5;
sbit LCD_EN=P2^7;
#define LCD_DataPort P0

//函数定义:
/**
  * @brief  LCD1602延时函数,12MHz调用可延时1ms
  * @param  无
  * @retval 无
  */
void LCD_Delay()
{
	unsigned char i, j;

	i = 2;
	j = 239;
	do
	{
		while (--j);
	} while (--i);
}

/**
  * @brief  LCD1602写命令
  * @param  Command 要写入的命令
  * @retval 无
  */
void LCD_WriteCommand(unsigned char Command)
{
	LCD_RS=0;
	LCD_RW=0;
	LCD_DataPort=Command;
	LCD_EN=1;
	LCD_Delay();
	LCD_EN=0;
	LCD_Delay();
}

/**
  * @brief  LCD1602写数据
  * @param  Data 要写入的数据
  * @retval 无
  */
void LCD_WriteData(unsigned char Data)
{
	LCD_RS=1;
	LCD_RW=0;
	LCD_DataPort=Data;
	LCD_EN=1;
	LCD_Delay();
	LCD_EN=0;
	LCD_Delay();
}

/**
  * @brief  LCD1602设置光标位置
  * @param  Line 行位置,范围:1~2
  * @param  Column 列位置,范围:1~16
  * @retval 无
  */
void LCD_SetCursor(unsigned char Line,unsigned char Column)
{
	if(Line==1)
	{
		LCD_WriteCommand(0x80|(Column-1));
	}
	else if(Line==2)
	{
		LCD_WriteCommand(0x80|(Column-1+0x40));
	}
}

/**
  * @brief  LCD1602初始化函数
  * @param  无
  * @retval 无
  */
void LCD_Init()
{
	LCD_WriteCommand(0x38);//八位数据接口,两行显示,5*7点阵
	LCD_WriteCommand(0x0c);//显示开,光标关,闪烁关
	LCD_WriteCommand(0x06);//数据读写操作后,光标自动加一,画面不动
	LCD_WriteCommand(0x01);//光标复位,清屏
}

/**
  * @brief  在LCD1602指定位置上显示一个字符
  * @param  Line 行位置,范围:1~2
  * @param  Column 列位置,范围:1~16
  * @param  Char 要显示的字符
  * @retval 无
  */
void LCD_ShowChar(unsigned char Line,unsigned char Column,char Char)
{
	LCD_SetCursor(Line,Column);
	LCD_WriteData(Char);
}

/**
  * @brief  在LCD1602指定位置开始显示所给字符串
  * @param  Line 起始行位置,范围:1~2
  * @param  Column 起始列位置,范围:1~16
  * @param  String 要显示的字符串
  * @retval 无
  */
void LCD_ShowString(unsigned char Line,unsigned char Column,char *String)
{
	unsigned char i;
	LCD_SetCursor(Line,Column);
	for(i=0;String[i]!='\0';i++)
	{
		LCD_WriteData(String[i]);
	}
}

/**
  * @brief  返回值=X的Y次方
  */
int LCD_Pow(int X,int Y)
{
	unsigned char i;
	int Result=1;
	for(i=0;i<Y;i++)
	{
		Result*=X;
	}
	return Result;
}

/**
  * @brief  在LCD1602指定位置开始显示所给数字
  * @param  Line 起始行位置,范围:1~2
  * @param  Column 起始列位置,范围:1~16
  * @param  Number 要显示的数字,范围:0~65535
  * @param  Length 要显示数字的长度,范围:1~5
  * @retval 无
  */
void LCD_ShowNum(unsigned char Line,unsigned char Column,unsigned int Number,unsigned char Length)
{
	unsigned char i;
	LCD_SetCursor(Line,Column);
	for(i=Length;i>0;i--)
	{
		LCD_WriteData(Number/LCD_Pow(10,i-1)%10+'0');
	}
}

/**
  * @brief  在LCD1602指定位置开始以有符号十进制显示所给数字
  * @param  Line 起始行位置,范围:1~2
  * @param  Column 起始列位置,范围:1~16
  * @param  Number 要显示的数字,范围:-32768~32767
  * @param  Length 要显示数字的长度,范围:1~5
  * @retval 无
  */
void LCD_ShowSignedNum(unsigned char Line,unsigned char Column,int Number,unsigned char Length)
{
	unsigned char i;
	unsigned int Number1;
	LCD_SetCursor(Line,Column);
	if(Number>=0)
	{
		LCD_WriteData('+');
		Number1=Number;
	}
	else
	{
		LCD_WriteData('-');
		Number1=-Number;
	}
	for(i=Length;i>0;i--)
	{
		LCD_WriteData(Number1/LCD_Pow(10,i-1)%10+'0');
	}
}

/**
  * @brief  在LCD1602指定位置开始以十六进制显示所给数字
  * @param  Line 起始行位置,范围:1~2
  * @param  Column 起始列位置,范围:1~16
  * @param  Number 要显示的数字,范围:0~0xFFFF
  * @param  Length 要显示数字的长度,范围:1~4
  * @retval 无
  */
void LCD_ShowHexNum(unsigned char Line,unsigned char Column,unsigned int Number,unsigned char Length)
{
	unsigned char i,SingleNumber;
	LCD_SetCursor(Line,Column);
	for(i=Length;i>0;i--)
	{
		SingleNumber=Number/LCD_Pow(16,i-1)%16;
		if(SingleNumber<10)
		{
			LCD_WriteData(SingleNumber+'0');
		}
		else
		{
			LCD_WriteData(SingleNumber-10+'A');
		}
	}
}

/**
  * @brief  在LCD1602指定位置开始以二进制显示所给数字
  * @param  Line 起始行位置,范围:1~2
  * @param  Column 起始列位置,范围:1~16
  * @param  Number 要显示的数字,范围:0~1111 1111 1111 1111
  * @param  Length 要显示数字的长度,范围:1~16
  * @retval 无
  */
void LCD_ShowBinNum(unsigned char Line,unsigned char Column,unsigned int Number,unsigned char Length)
{
	unsigned char i;
	LCD_SetCursor(Line,Column);
	for(i=Length;i>0;i--)
	{
		LCD_WriteData(Number/LCD_Pow(2,i-1)%2+'0');
	}
}

LCD1602.h

#ifndef __LCD1602_H__
#define __LCD1602_H__

//用户调用函数:
void LCD_Init();
void LCD_ShowChar(unsigned char Line,unsigned char Column,char Char);
void LCD_ShowString(unsigned char Line,unsigned char Column,char *String);
void LCD_ShowNum(unsigned char Line,unsigned char Column,unsigned int Number,unsigned char Length);
void LCD_ShowSignedNum(unsigned char Line,unsigned char Column,int Number,unsigned char Length);
void LCD_ShowHexNum(unsigned char Line,unsigned char Column,unsigned int Number,unsigned char Length);
void LCD_ShowBinNum(unsigned char Line,unsigned char Column,unsigned int Number,unsigned char Length);

#endif

Key.c

#include <REGX52.H>
#include "Delay.h"

/**
  * @brief  获取独立按键键码
  * @param  无
  * @retval 按下按键的键码,范围:0~4,无按键按下时返回值为0
  */
unsigned char Key()
{
	unsigned char KeyNumber=0;
	
	if(P3_1==0){Delay(20);while(P3_1==0);Delay(20);KeyNumber=1;}
	if(P3_0==0){Delay(20);while(P3_0==0);Delay(20);KeyNumber=2;}
	if(P3_2==0){Delay(20);while(P3_2==0);Delay(20);KeyNumber=3;}
	if(P3_3==0){Delay(20);while(P3_3==0);Delay(20);KeyNumber=4;}
	
	return KeyNumber;
}

Key.h

#ifndef __KEY_H__
#define __KEY_H__

unsigned char Key();

#endif

Delay.c

#include "intrins.h"

void Delay(unsigned int xms)		//@11.0592MHz
{
	unsigned char i, j;
	
	while(xms--)
	{
		_nop_();
		i = 2;
		j = 199;
		do
		{
			while (--j);
		} while (--i);
	}
}

Delay.h

#ifndef __DELAY_H__
#define __DELAY_H__



void Delay(unsigned int xms);




#endif

  • 15
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值