LabVIEW-使用与非门实现三人表决器

三人表决器与非门实现,当两个及以上的人选择为同意时,输出为真;否则输出为假。根据真值表和表达式Y=((AB)'(BC)'(AC)')'可以画出逻辑电路图从而实现三人表决器的功能。在LabVIEW前面板界面放置三个布尔型开关元件,开关打开输出为真,开关关闭输出为假,模拟三个人的选择结果。放置一个布尔显示控件,作为输出显示。接下来在程序框图界面,放置布尔运算符,再将所有控件根据表达式连接即可。

  • 6
    点赞
  • 20
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值