ModelSim仿真软件的使用

一、打开modelsim软件。

二、进行仿真。

       打开任意一个文本编辑器,输入设计块和激励块源文件,并存放在一个新建的子目录中。然后,在 Modelsim 软件创建一个新的工程设计项目,添加已经存在的源文件( mux2tol_df.w 和 test_ mux2tol_df.r),并编译,最后进行逻辑功能仿真,得到下所示的波形。

02e0b2fb8c41499e8e867ca15b9c79ff.jpg

 

在ModelSim 的 Transeript窗口以文本方式显示的结果如下图 。

dbc2eb1d47664f11bbf73756a052853f.jpg

 三、实验结束。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值