Modelsim查看模拟波形信号

1、选中希望以模拟波形显示的信号,右击选择format—>Analog(automatic)
在这里插入图片描述
2、如果你的数据是用有符号数表示一个完整的波形的,那么可能显示出来的波形样子是下面的样子,不过不要紧,这是因为modelsim默认是按照有16进制数据解析波形数据的缘故,我们可以再进行一次设置修改。
在这里插入图片描述

3、选中希望显示波形的信号,右击,选择Radix—>Decimal。
在这里插入图片描述
4、然后就好了
在这里插入图片描述
注:如果用户希望将波形恢复到原始的数据显示状态(仿真运行后的默认状态),只需要在format中选择Literal,Radix中选择Use Global Radix即可。

  • 8
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值