实验2 组合逻辑电路与时序逻辑电路设计

实验目的:

1.构建基于verilog语言的组合逻辑电路和时序逻辑电路;

2.掌握verilog语言的电路设计技巧。

3.完成如下功能:加法器、译码器、多路选择器、计数器、移位寄存器等。

实验内容及步骤:

一、实验原理

原理图文件《数字系统设计_sch.pdf》,找到如下两个部分:

图2.1 Led驱动以及引脚配置

 

图2.2开关和按键

如图2.1所示,发光二极管1L1到1L8连接到FPGA的引脚号依次为: PIN_B11、 PIN_A8、PIN_B8、PIN_A7、PIN_B7、PIN_C7、PIN_B6、PIN_A6。

如图2.2所示,开关SW1到SW8 连接到FPGA的引脚号依次为PIN_C16、PIN_A15 、PIN_B15、PIN_A14、PIN_B14、PIN_C14、PIN_B13、PIN_A13 。 按键PW1-PW4连接到FPGA的引脚号依次为 A12、B12、C12、A11。

计数器

一般的计数器有 清零、置数、计数等功能。

真值表如下:

输入

输出

功能

R0

R9

CLK

QD

QC

QB

QA

H

L

X

L

L

L

L

清0

X

H

X

  • 24
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值