stm32------PWM输出

目录

前言

一、知识点

1.PWM工作过程

工作过程(以通道1为例)

2. PWM模式1和PWM模式2 

向上计数配置

3.PWM模式概述

 4.自动重载的预装载寄存器

  5.四个通道引脚​

二、代码段

1.main中代码

2.PWM.c中代码

3.PWM.h中代码

前言

代码作用:

使用TIM1的通道1产生PWM控制DS0的亮度 

一、知识点

1.PWM工作过程

 从0开始计数到ARR,到ARR后产生中断重新计数。在此期间进行捕获比较CCRx为寄存器,若计数器的值比CCRx存储的值小,输出低电平;若计数器的值比CCRx存储的值大,输出高电平。以此循环形成PWM波。PWM的周期由ARR决定。

工作过程(以通道1为例)

 

2. PWM模式1和PWM模式2 

OC1M设置为110则是PWM模式1,111为PWM模式2。其中的无效电平和有效电平由CCER:CC1P位设置(第二张图)

向上计数配置

3.PWM模式概述

 4.自动重载的预装载寄存器

  5.四个通道引脚

 

二、代码段

1.main中代码

int main(void)
{
u16 led0pwmval=0;
u8 dir=1;
delay_init(); //延时函数初始化 
LED_Init(); //初始化与 LED 连接的硬件接口
TIM1_PWM_Init(899,0);//不分频。PWM 频率=72000/(899+1)=80Khz 
 while(1)
{
delay_ms(10);
if(dir)led0pwmval++;
else led0pwmval--;
if(led0pwmval>300)dir=0;
if(led0pwmval==0)dir=1; 
TIM_SetCompare1(TIM1,led0pwmval); 
} 
}

2.PWM.c中代码

#include "pwm.h"
#include "led.h"
//PWM 输出初始化
//arr:自动重装值
//psc:时钟预分频数
void TIM1_PWM_Init(u16 arr,u16 psc)
{
GPIO_InitTypeDef GPIO_InitStructure;
TIM_TimeBaseInitTypeDef TIM_TimeBaseStructure;
TIM_OCInitTypeDef TIM_OCInitStructure;
RCC_APB2PeriphClockCmd(RCC_APB2Periph_TIM1, ENABLE);// ①使能 tim1 时钟
RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA , ENABLE); 
//①使能 GPIO 外设时钟使能
 
 //设置该引脚为复用输出功能,输出 TIM1 CH1 的 PWM 脉冲波形
GPIO_InitStructure.GPIO_Pin = GPIO_Pin_8; //TIM_CH1
GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP; //复用推挽输出
GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
GPIO_Init(GPIOA, &GPIO_InitStructure);
TIM_TimeBaseStructure.TIM_Period = arr; 
//设置在下一个更新事件装入活动的自动重装载寄存器周期的值 80K
TIM_TimeBaseStructure.TIM_Prescaler =psc; 
//设置用来作为 TIMx 时钟频率除数的预分频值 不分频
TIM_TimeBaseStructure.TIM_ClockDivision = 0; //设置时钟分割:TDTS = Tck_tim
TIM_TimeBaseStructure.TIM_CounterMode = TIM_CounterMode_Up; //向上计数
TIM_TimeBaseInit(TIM1, &TIM_TimeBaseStructure); //②初始化 TIMx
TIM_OCInitStructure.TIM_OCMode = TIM_OCMode_PWM2; //脉宽调制模式 2
TIM_OCInitStructure.TIM_OutputState = TIM_OutputState_Enable; //比较输出使能
TIM_OCInitStructure.TIM_Pulse = 0; //设置待装入捕获比较寄存器的脉冲值
TIM_OCInitStructure.TIM_OCPolarity = TIM_OCPolarity_High; //输出极性高
TIM_OC1Init(TIM1, &TIM_OCInitStructure); //③初始化外设 TIMx
 TIM_CtrlPWMOutputs(TIM1,ENABLE); //⑤MOE 主输出使能
TIM_OC1PreloadConfig(TIM1, TIM_OCPreload_Enable); //CH1 预装载使能
TIM_ARRPreloadConfig(TIM1, ENABLE); //使能 TIMx 在 ARR 上的预装载寄存器
TIM_Cmd(TIM1, ENABLE); //④使能 TIM1
}

3.PWM.h中代码

#ifndef __PWM_H
#define __PWM_H
#include "sys.h"



void TIM1_PWM_Init(u16 arr,u16 psc);

#endif

  • 5
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值