复位电路

同步复位启动时同步于寄存器的时钟域;而异步复位则是自然地到达寄存器,其与寄存器所在的时钟域之间并无明确的时序关系;异步复位同步释放就是一个异步复位被同步到系统时钟域。

同步复位:always@(posedge clk)

  if(!rst_n)

a<=1'b0;

else

a<=b;

复位信号保持时间小于一个时钟时可能存在捕获不到的情况。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值