uvm包的环境搭建以及跑通uvm实战中的示例代码

uvm包的环境搭建

1.下载
下载地址https://www.accellera.org/downloads/standards/uvm

2.环境配置
参照 https://blog.csdn.net/PPRAM/article/details/132528845。按照该博主的流程操作,可以说明uvm环境已经打好了。

3.跑通uvm实战提供的示例代码。
https://github.com/emwzq/example_uvm,该git中有博主提供了uvm源码和uvm实战随书示例源码 。
对于我们这些新手来说,虽然在网上可以看到怎么跟着去写 uvm的 代码,但是写好之后没有说怎么去运行,跑不起来。这次也是碰到这个问题。我用的是centos7的linux系统。
首先根据随书源码中的readme.txt
在这里插入图片描述
这里的写了3点,其中第1点是让我们去我们根据我们自己电脑安装uvm的路劲,去更改在 示例代码的环境中的 配置文件如下:
在这里插入图片描述

这三个setup文件中,vcs是编译的,另外两个是仿真波形的。对于我们执行uvm只要配置看setup.vcs就行了。
setup.vcs原内容如下:
在这里插入图片描述
他这个是csh,我这个系统之前一直用的sh, 即#!/bin/sh 我不知道在同一个系统中是否能通知存在这两种,反正我这边source该setup.vcs的时候会报错。
在这里插入图片描述
应该是csh在我这个系统里面不支持这种命令,得用sh的命令。但是由于该文件中所做的操作就是 将VCS_HOME, UVM_HOME,已经WORK_HOME给定义一下啊,然后SIM_TOOL 选择一下,然后再将这几个路径给放到PATH中。而 我们在做 UVM 的时候,一般都是将VCS 安装好的, VCS安装的时候会在 用户目录下的.bashrc中 定义过VCS_HOME, UVM_HOME也在下载uvm库之后也在.bashrc中给定义了,所以只要在接着定义WORK_HOME,SIM_TOOL,然后PATH设置一下,就可以实现这个setup.vcs所要求的操作。如下:
在这里插入图片描述
配置好后source一下,然后继续运行2.2.1里的run。发下报如下错误,反馈找不到vcs
在这里插入图片描述
这里打开这个run文件看看里面在做什么操作,如下:
在这里插入图片描述
我们SIM_TOOL设置的是VCS,所以运行的是中间那个if语句, 里面是vcs的命令,在这个vcs命令里面只用到的参数不多,让我想到了uvm环境配置中所参照的那位博主 https://blog.csdn.net/PPRAM/article/details/132528845 ,所说要在 uvm的example的Makefile.vcs中加入一段
在这里插入图片描述
这里加的也是对vcs的命令补充,其实主要的就是这个-full64, 这个好像是跟vcs的版本有关系,-full64好像就是64为操作系统,所以在2.2.1的示例代码的run文件里面同样加入这个-full64,如下:
在这里插入图片描述
然后再运行run。可以看到运行成功如下
在这里插入图片描述
该示例代码2.2.1就是输出256次data is drived, 如书上所述。
在这里插入图片描述

  • 20
    点赞
  • 24
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值