Modelsim10.4中UVM验证环境的搭建

本例子中使用张强的《UVM实战》中的代码:

        example_and_uvm_source_code\puvm\src\ch2\section2.2\2.2.1\my_driver.sv

        example_and_uvm_source_code\puvm\src\ch2\section2.2\2.2.1\top_tb.sv

        example_and_uvm_source_code\puvm\src\ch2\dut\dut.sv

上述代码下载链接:https://download.csdn.net/download/sinde5/10516409

上述三个文件组成了一个简单的UVM测试环境,包括待测设备dut,测试driver,以及测试顶层top_tb。

1. 我们将上述代码复制到我们的工程文件夹:D:/modelsim10.4/Project/uvm0

2. 打开Modelsim10.4并改变Work lib的目录到D:/modelsim10.4/Project/uvm0

    如下图,选择File-->Change Directory


选择完成之后,可以看到Work lib的Path已经变到工程目录了

3. 在Modelsim下方的Transcript栏中输入: vlib work

4. 设置环境变量:UVM目录和工作目录:

set UVM_HOME D:/Modelsim10.4/verilog_src/uvm-1.1d

set WORK_HOME D:/Modelsim10.4/Project/uvm0

5. 将示例代码进行编译:

 vlog +incdir+$UVM_HOME/src  -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv  $WORK_HOME/dut.sv top_tb.sv

6. 运行仿真:

vsim -novopt -c -sv_lib D:/Modelsim10.4/uvm-1.1d/win64/uvm_dpi  work.top_tb


7. 完成上述步骤,就进入到Modelsim的仿真环境,可以进行仿真验证工作了

        

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值