【VHDL】设计带有异步复位的可加减控制的50进制加减计数器

                                                                             题目描述:

                                            设计带有异步复位的可加减控制的50进制加减计数器

50进制加减计数器源代码:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity count_50 is
port(clk,rd,updown:in std_logic;
     q:out std_logic_vector(5 downto 0));
end count_50;

architecture count of count_50 is
signal y:std_logic_vector(5 downto 0);
begin
process (clk,rd)
begin
if rd = '0'
  then y <= "000000";
else
  if (clk'event and clk = '0')then
    if(updown = '1')then
      if y = "110001" then
         y <= "000000";
      else
         y <= y+1;
      end if;
    else
      if y = "000000" then
         y <= "110001";
      else
         y <= y-1;
      end if;
    end if;
  end if;
end if;
end process;
q <= y;
end count;

波形图如下:

原始波形图                                                                                   1.原始波形图

 

 

                                                                                    2.功能仿真图

 

 

                                                                                    3.时序仿真图

 

  • 4
    点赞
  • 35
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值