【VHDL】同步复位、置位的D触发器设计

题目描述:

用VHDL语言设计一个同步复位、置位的D触发器

 

原理:D触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态“1”和“0”。在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。

 

VHDL源代码:

library ieee; 
use ieee.std_logic_1164.all; 
use ieee.std_logic_unsigned.all; 
entity trigger_d is 
  port(clk,d,sreset,sset:in std_logic; 
               q,qb:out std_logic); 
end entity; 
architecture art of trigger_d is 
  begin 
  process(clk,d,sreset,sset) 
    begin 
      if clk'event and clk='1' then 
          if sreset='1' then q<='0';qb<='1'; 
     elsif sset='1' then q<='1';qb<='0'; 
              else q<=d;qb<=not(d); 
          end if; 
      end if; 
  end process; 
end architecture art;

波形图如下:

原始波形图

 

 

 

 

功能仿真图

 

 

时序仿真图

 

  • 13
    点赞
  • 55
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值