VHDL硬件描述语言
文章平均质量分 71
RuifengZeng
- -
展开
-
利用硬件描述语言实现交通灯控制模型1,顶层连接
可以通过在程序设置时间点,实现白天和黑夜的模式切换白天人行道交通灯是规律的绿黄红变化黑夜是有人按键才会30秒后变为绿灯顶层文件代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY dingceng IS PORT( clk : in std_LOGIC; key1,key2,key3,key4,key5: IN STD_LOGIC; seg7d...原创 2018-03-09 21:22:25 · 688 阅读 · 0 评论 -
利用硬件描述语言实现交通灯控制模型2,白天模式
程序说明:当白天黑夜计数器输出的白天黑夜状态量为‘1’表示系统处于白天,白天的时候,红绿灯以及倒计时为一个30+15秒循环的状态量,对状态机进行穷举,便可以得到白天模式的显示 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; entity baitian isport ( NUM02,NUM12,NUM22,NUM32 : buffer STD_LOGIC_VE...原创 2018-03-09 21:23:47 · 329 阅读 · 0 评论 -
利用硬件描述语言实现交通灯控制模型3,白天计数
白天计数模块说明:系统经过对系统晶振的分频,对白天计数模块输入一个1hz的时钟信号,每当白天模块检测到1hz时钟信号的上升沿,设定0-44的信号减一,然后输出一个在0-44循环的数作为白天计数信号量 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; entity baitian45 is port(clk_out1:in std_logic; ---输入1hz...原创 2018-03-09 21:25:27 · 269 阅读 · 0 评论 -
利用硬件描述语言实现交通灯控制模型4,动态扫描
动态扫描模块说明:通过一个分频器输出的100hz的计数信号,不断对count3信号进行00 01 10 11的循环,每个数字代表要显示不同的显示管,然后判断白天黑夜状态量,如果是白天,就取白天模块的四个输出作为显示管要显示的数,如果是黑夜,则要判断中间信号strobe,如果信号为‘0’代表没有人按键,四个显示管显示黑夜模式的四个输出,如果中间信号为‘1’代表有人按键,则四个显示管显示黑夜模式2的四...原创 2018-03-09 21:27:09 · 550 阅读 · 0 评论 -
利用硬件描述语言实现交通灯控制模型5,分频
分频模块说明:通过对分频系统的循环,达到将50mhz的时钟分开成1hz时钟和100hz时钟的目的library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity fenpin isport(clk:in std_logic; -----输入时钟clk_out1:out std_logic; -----输...原创 2018-03-09 21:29:06 · 502 阅读 · 0 评论 -
利用硬件描述语言实现交通灯控制模型6,黑夜模式,有人按键
黑夜有人按键模块说明:当白天黑夜状态量为‘0’并且中间信号strobe有效时,代表有人按键,开始一个30+15秒的状态机,用穷举法列出状态机的状态 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY heiye IS port ( NUM00,NUM10,NUM20,NUM30: buffer STD_LOGIC_VECTOR(3 DOWN...原创 2018-03-09 21:30:28 · 530 阅读 · 0 评论 -
利用硬件描述语言实现交通灯控制模型7,黑夜模式,无人按键
黑夜无人按键模块说明:当白天黑夜计数器输出的状态量为‘0’并且中间信号strobe无效时,代表黑夜时没有人按键,则显示管固定显示,没有变化 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY heiye2 IS port ( NUM01,NUM11,NUM21,NUM31 : buffer STD_LOGIC_VECTOR(3 DOWNTO 0)...原创 2018-03-09 21:31:09 · 324 阅读 · 0 评论 -
利用硬件描述语言实现交通灯控制模型8,键盘和控制
键盘 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY jianpan IS PORT(key2_out: out STD_LOGIC; Key2: IN STD_LOGIC); ------5个按键END jianpan; ARCHITECTURE behave OF jianpan IS SIGNAL keysignal1 :STD_LOGIC...原创 2018-03-09 21:32:35 · 276 阅读 · 0 评论