基于FPGA的交通灯设计与实现

EDA工具:Modelsim and Vivado
##一、产品要求:
在这里插入图片描述
该控制器用于主干道和支道公路交叉口,优先保证主干道通行,平时处于"主干道绿灯,支道红灯"状态,支道有车辆要穿过主干道时,信号灯改为"主干道红灯,支道绿灯",支道无车辆时,信号灯返回"主干道绿灯,支道红灯"状态。如果支道始终有车,按普通信号灯处理。主干道和支道每次通行时间不得短于30s,两个状态交换之间有"主黄,支红"和"主红,支黄"的中间状态,持续时间均为4s。支道是否来车用开关代替,交通信号灯用LED代替。

##二、代码设计:

module traffic_ctrl(
    input sys_clk,
    input sys_rst_n,
    input bypass_car,
    output reg main_light,
    output reg bypass_light

);
//wire sys_rst_n;
//assign sys_rst_n = 1'b1;
parameter S0 = 2'b00;
parameter S1 = 2'b01;
parameter S2 = 2'b11;
parameter S3 = 2'b10;
/**************************实际测试数据*********************************************************/
//parameter CLK_CNT    = 32'B1100_1010_1010_0111_1110_0010_0000_0000;//68s
//parameter YELLOW_CNT = 25'B1_0111_1101_0111_1000_0100_0000;
/**************************缩小1000000倍后的用于仿真测试数据**************************************/
parameter CLK_CNT    = 35'd3400;
parameter YELLOW_CNT = 25'd25;

reg [31:0]clk_cnt;
reg [24:0]yellow_cnt;
wire red;
reg yellow;
wire green;
assign red   = 1'b1;
assign green = 1'b0;

always@(posedge sys_clk or negedge sys_rst_n)begin
    if(!sys_rst_n||!bypass_car)
        yellow_cnt <= 25'b0;
    else if(yellow_cnt == YELLOW_CNT - 1)begin
        yellow <= ~yellow;
        yellow_cnt <= 25'b0;
        end
    else
        yellow_cnt <= yellow_cnt + 1'b1; 
end

//计数模块
always@(posedge sys_clk or negedge sys_rst_n)begin
    if(!sys_rst_n||!bypass_car)
        clk_cnt <= 32'b0;
    else if(clk_cnt==CLK_CNT-1)
        clk_cnt <= 32'b0;
    else
         clk_cnt <= clk_cnt + 1'b1;
end
reg [1:0]curr_st;
reg [1:0]next_st;

//状态机之一段
always@(posedge sys_clk or negedge sys_rst_n)begin
    if(!sys_rst_n||!bypass_car)
      curr_st = S0;
//    else if((clk_cnt==(30*50_000_000-1))||            /* 
//            (clk_cnt==(34*50_000_000-1))||                实际时间
//            (clk_cnt==(64*50_000_000-1))||
//            (clk_cnt==(68*50_000_000-1))  )            */
/**************************缩小1000000倍后的仿真测试**************************************/
    else if((clk_cnt==(30*50_-1))||             
            (clk_cnt==(34*50_-1))||
            (clk_cnt==(64*50_-1))||
            (clk_cnt==(68*50_-1))  )
      curr_st <= next_st;
    else
        curr_st <= curr_st;
end

//状态机之二段
always@(*)begin
    case(curr_st)
        S0 : next_st = S1;
        S1 : next_st = S2;
        S2 : next_st = S3;
        S3 : next_st = S0;
        default :;
    endcase
end

//状态机之第三段
always@(posedge sys_clk or negedge sys_rst_n)begin
    if(!sys_rst_n)begin
        main_light <= green;
        bypass_light <= red;
    end
    else begin
        case(curr_st)
            S0: begin
		    	main_light <= green;
		    	bypass_light <= red;
		        end
            S1:	begin
		    	main_light <= yellow;
		    	bypass_light <= red;
		        end
            S2:	begin
		    	main_light <= red;
		    	bypass_light <= green;
		        end
            S3:	begin
		    	main_light <= green;
		    	bypass_light <= yellow;
		        end
            default:;  
        
endcase
end 
end
  

endmodule

##三、测试代码设计:

`timescale 1ns/1ps
module tb_traffic_light();

reg sys_clk;
reg sys_rst_n;
reg bypass_car;
wire main_light;
wire bypass_light;


initial begin 
    sys_clk    = 1'b1;
    sys_rst_n  = 1'b0;
    bypass_car = 1'b0;
   
end
initial begin
    #20 sys_rst_n  = 1'b1;
    #1000 bypass_car = 1'b1; 
end
always #10 sys_clk = ~sys_clk;
 traffic_ctrl u_traffic_ctrl(
    .sys_clk          (sys_clk         )  ,
    .sys_rst_n        (sys_rst_n       )  ,
    .bypass_car       (bypass_car      )  ,
    .main_light       ( main_light     )  ,
    .bypass_light     ( bypass_light   )  

);


endmodule

##四、仿真测试结果

由于仿真工具的仿真时间的考虑,本次仿真是在缩小1000_000倍的前提下进行的仿真,入下(前提采用了两个led作为测试 灯亮表示红灯 灯灭表示绿灯 黄灯表示led灯闪烁 )

为什么黄灯会变成不定态(原因:可能因为led灯反转太快 导致的 在实际情况下不存在此情况如视频中演示)

在bypass_car=1(i.g. 旁路有车)前提下
一区:相隔30000ns后进行的
二区:相隔4000ns后进行的
三区:相隔30000ns后进行的
四区:相隔4000ns后进行的
五区:返回到主车道绿灯,旁车道红灯

在这里插入图片描述

##五、上板验证结果
Led1灯表示主干道 led3表示枝干道
在这里插入图片描述
在这里插入图片描述

交通信号灯

  • 3
    点赞
  • 36
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值