计算机组成原理课程设计_微程序控制的运算器设计

实验四 微程序控制的运算器设计

实验分析

设计要求:

  • 利用之前设计的具有超前进位功能的8位ALU,实现简单算术或逻辑运算
  • 两操作数由八位寄存器R0、R1提供,其结果放入R2中。具体何种操作可由微命令任意设定(物理运算由ALU电路完成)。

实验要求完成如下所示的虚线框内的部分:
这里写图片描述

其中图片上方是运算器(ALU),下方是计数器(μPC)

另外因为实验要求的器件更改,图中有一部分是错误的,对照试验过程ALU中的右四位不应该是LM、DM、RM和C0,而应该是5位:M、S0-S3(对应74818的功能输入)

实现的功能

  1. 按一下单脉冲(会形成一个负脉冲),会输入到三个信号中
    a. 在μRD的作用下,根据μPC的地址从ROM中取出24位的微指令代码(输入到μRD管脚中)
    b. 在CPμIR的作用下,ROM中的24位微指令代码输出到μIR微指令寄存器中(输入到CPμIR管脚中)
    c. μPC+1,形成下一次的8位地址(输入到μPC的clk中)
  2. 其中,按第一次形成的24位微指令,将前八位输入R0(后5位不用管)
  3. 按第二次,前八位输入R1(后5位不用管)
  4. 按第三次,得到R2(后5位调成相应 命令)

负脉冲
这里写图片描述

  • 29
    点赞
  • 166
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值