vivado.2019.1 安装教程

vivado.2019.1 安装教程

下载链接:
VIVADIO 2019.1
链接:https://pan.baidu.com/s/17_cPUahNzHmm-3xKsKQ7GQ
提取码:rop0
–来自百度网盘超级会员V4的分享

1、解压所有文件 所有的文件不能有中文名和空格

解压part1 所有的分卷就会自动解压 然后解压一下licence

在这里插入图片描述

2、打开xilinx文件夹,双击xsetup.exe 如果提示版本更新,忽略掉

在这里插入图片描述

3、I agree 所有的条款

4、选择第三个 系统超集合版本

在这里插入图片描述

5、取消勾选安装互联网协同合作和与matlab的相关的dsp 倒数2、3选项

在这里插入图片描述

6、不要装在C盘
在这里插入图片描述

7、点击install安装
在这里插入图片描述

8、时间较久等待安装即可

在这里插入图片描述

9、允许xilinx安装
在这里插入图片描述

10、安装 License 文件,点击“Copy License”,选择“xilinx_ise_vivado.lic”文件。找到并选择之前解压的licence文件

在这里插入图片描述

在这里插入图片描述

copy之后 安装成功
在这里插入图片描述

11、安装下载器驱动 一般安装 vivado 时,都会安装下载器驱动。如果需要再次安装下载器驱动,进入 vivado 安
装路径“X:\Xilinx\Vivado\2019.1\data\xicom\cable_drivers\nt64\digilent”下,双击
“install_digilent.exe”文件安装,安装前先关闭 vivado 软件,如果 vivado 识别不到下载器,请
尝试关闭防火墙,杀毒软件,也不能同时打开多个版本的 vivado、ise。在这里插入图片描述

一路next

安装完成后,连接下载器,打开设备管理器,在通用串行总线控制器里找到 USB Serial
Converter,说明安装成功

在这里插入图片描述

12、打开软件 新建或者打开一个工程文件!

在这里插入图片描述

安装Vivado 2019.1需要进行以下几个步骤: 1. 下载并安装下载器驱动:一般安装Vivado时会自动安装下载器驱动,如果需要重新安装下载器驱动,可以进入Vivado安装路径下的“X:\Xilinx\Vivado\2019.1\data\xicom\cable_drivers\nt64\digilent”文件夹,双击“install_digilent.exe”进行安装。在安装之前,请先关闭Vivado软件,如果Vivado无法识别下载器,请尝试关闭防火墙和杀毒软件,并确保只打开一个版本的Vivado或ISE软件。 2. 下载Vivado 2019.1安装文件:可以通过以下链接下载Vivado 2019.1安装文件:https://pan.baidu.com/s/17_cPUahNzHmm-3xKsKQ7GQ 提取码:rop0。这是一个来自百度网盘超级会员V4的分享链接。 3. 安装License文件:打开Vivado软件,点击“Copy License”,然后选择之前解压的“xilinx_ise_vivado.lic”文件进行安装。 以上就是安装Vivado 2019.1的步骤。请按照以上步骤进行操作,确保按照要求安装下载器驱动和License文件。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [vivado.2019.1 安装教程](https://blog.csdn.net/salywin/article/details/127726023)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值