如何提高vcs以及irun的编译与仿真速度

1 篇文章 1 订阅

vcs:

没必要的时候不要加-debug系列参数;-jN (N 为数字)多核编译;某lca的feature,提高编译速度VS提高仿真速度,二选一,不可兼得;segment compile(MARK之)


irun:

-mccodegen -mcmaxcores N (N为数字)多核编译;-access后面少跟一些乱七八糟的参数,没有debug需要+r即可;-msdump多核dump


通用:

增量编译;dut和tb分开编译

  • 1
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值