#VCS# 关于加速仿真的考虑方向

一  一些建议

(1)合理使用debug_access对simulation database的访问权限。并配合debug_region使用。

(2)波形的dump对仿真速度影响很大,根据需求做一些限制:
         2.1 Limit the scope (例如:$fsdbDumpvars("+IO_Only")只dump端口信号)
         2.2 Limit the Time
         2.3 Limit Dumping of Memories
         2.4 Limit Library Dumping

(3)RF/PHY的calibration增加了run-time,寻求FASTMODE的配置。VIP中各类timer的scale down。

(4)vcs的Incremental Compilation增量编译(相对于第一次编译 fresh compile而言),貌似只对analysis阶段提升,对于compile的提升作用不大。增量编译是vcs默认打开的功能。

(5)一次编译多个tc,分别仿真。利用$plusargs减少重复编译。

(6)vcs -j<num_of_processes>多核并行编译

(7)通过PLI大量调用ACC lib的例程,影响run-time速度。采用+vcs+learn+pli配置+applylearn+[tabfile]使用。

(8)大量调用VPI访问simulation database,建议改成interface的方式。比如uvm中的uvm_hdl_xxx和寄存器模型后门访问。

(9)VCS Fine-Grained Parallelism,调用多核进行仿真,有限制,仅适用于较少的 “testbench code and on the PLI/ DPI content”的testcase。
🔗 Realizing Faster Simulations/Diagnosis with VCS’ New Parallel Simulation and UFE Technology

(10)save restore #VCS# 关于仿真验证中 的 save & restore 技术

(11)分布编译Partition Compile #VCS# 关于仿真验证中 的 Partition Compile 技术

原文链接:https://blog.csdn.net/Holden_Liu/article/details/113761585

二 查看消耗资源

vcs –reportstats simv -reportstats : show the summary time and mem of compile and runtime.
vcs -simprofile simv -simprofile [time|mem]: 查看详细的各个模块(module,package,pli等)占用时间和内存。(html txt格式报告)
vcs -simprofile=uvm 查看UVM相关的资源消耗。(html txt格式报告)


 

  • 1
    点赞
  • 35
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值