testbench 数组整理

这篇博客详细介绍了在Verilog testbench中如何有效地整理和操作数组,特别是在去除数组首行和末尾元素时的方法。通过对数组的切片和重新赋值,实现对测试数据的精确控制。
摘要由CSDN通过智能技术生成

去掉前三行和后两列

`timescale 1ns / 1ps


// Company: 
// Engineer:
//
// Create Date:   19:34:30 09/12/2016
// Design Name:   top_module
// Module Name:   D:/SIFT/project/tb_Gaussian_gray/tp_top_test.v
// Project Name:  tb_Gaussian_gray
// Target Device:  
// Tool versions:  
// Description: 
//
// Verilog Test Fixture created by ISE for module: top_module
//
// Dependencies:
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 


module tp_top_test;

	parameter size = 4096;
	// Inputs
	reg CLK;
	reg nRESET;
//	reg [7:0] PIXEL_IN;
//	reg VALID_IN;

	// Outputs
	reg [7:0] data_out;
	
	reg [7:0]image_b[0:size-1];
	
	integer read_image_point;
	integer image_point;
	
	reg [12:0] write_addr;
	integer write_text_point;

	// Instantiate the Unit Under Test (UUT)
//	top_module uut (
//		.CLK(CLK), 
//		.nRESET(nRESET), 
//		.PIXEL_IN(PIXEL_IN), 
//		.VALID_IN(VALID_IN), 
//		.PIXEL_OUT(PIXEL_OUT)
//	);

	initial begin
		
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值