Synopsys工具安装之一 【Installer】

前言

synopsys为eda工具安装,提供了一个安装器,名叫installer。所以,首先要先安装installer这个工具,之后才能安装其他的eda工具。首先从官网下载该installer工具。

一、如何下载installer ?

使用Fillzilla工具连接Synopsys Solvnet,输入账号密码连接solvnet。
在这里插入图片描述
找到installer_v5.4
在这里插入图片描述

二、如何安装installer ?

1.修改执行权限

chmod a+x SynopsysInstaller_v5.4.run

2.执行安装

xxxx@xxxx installer_v5.4 $ ./SynopsysInstaller_v5.4.run
 
#******************************************************************
#
#    Synopsys Installer Self-Extracting Executable
#
#    This script extracts and installs Synopsys Installer 5.4 into
#    the given directory
#
#    For help type:
#
#       SynopsysInstaller_v5.4.run -help
#
#******************************************************************
 
Please specify installation directory [.]: /home/eda/synopsys/installer 
#这里输入安装该工具的目录

输入完路径后回车等待工具自动安装。

Please specify installation directory [.]: /home/eda/synopsys/installer
Installing Synopsys Installer 5.4 into the directory '/home/eda/synopsys/installer'…
 
Unpacking: SynopsysInstaller.tgz …
Installation complete.

安装完毕之后,会打印安装完成。查看安装目录下,会多一些文件和目录:

xxx@xxx installer $ ls
batch_installer  container_setup  doc  install_bin  installer  installer.log  setup.sh

其中,setup.sh脚本为将来用来安装eda工具的图形化界面工具。

执行 setup.sh脚本,会弹出图形化界面工具。

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
synopsys软件简介《一》 2007-08-09 一 Astro  Astro是Synopsys为超深亚微米IC设计进行设计优化、布局、布线的设计环境。Astro可以满足5千万门、时钟频率GHz、在0.10及以下工艺线生产的SoC设计的工程和技术需求。Astro高性能的优化和布局布线能力主要归功于Synopsys在其中集成的两项最新技术:PhySiSys和Milkyway DUO结构。 二 DFT DFT Compiler提供独创的“一遍测试综合”技术和方案。它和Design Compiler 、Physical Compiler系列产品集成在一起的,包含功能强大的扫描式可测性设计分析、综合和验证技术。DFT Compiler可以使设计者在设计流程的前期,很快而且方便的实现高质量的测试分析,确保时序要求和测试覆盖率要求同时得到满足。DFT Compiler同时支持RTL级、门级的扫描测试设计规则的检查,以及给予约束的扫描链插入和优化,同时进行失效覆盖的分析。 三 TetraMAX TetraMAX ATPG是业界功能最强、最易于使用的自动测试向量生成工具。针对不同的设计,TetraMAX可以在最短的时间内,生成具有具有最高故障覆盖率的最小的测试向量集。TetraMAX支持全扫描、或不完全扫描设计,同时提供故障仿真和分析能力。 四 Vera Vera验证系统满足了验证的需要,允许高效、智能、高层次的功能验证。Vera验证系统已被Sun、NEC、Cisco等公司广泛使用以验证其实际的产品,从单片ASIC到多片ASIC组成的计算机和网络系统,从定制、半定制电路到高复杂度的微处理器。Vera验证系统的基本思想是产生灵活的并能自我检查的测试向量,然后将其结合到test-bench中以尽可能充分测试所设计的电路。Vera验证系统适用于功能验证的各个层次,它具有以下特点:与设计环境的紧密集成、启发式及全随机测试、数据及协议建模、功能代码覆盖率分析。 五 VCS VCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。 VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off的要求。VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特点,适用于从行为级、RTL到Sign-Off等各个阶段。VCS已经将CoverMeter中所有的覆盖率测试功能集成,并提供VeraLite、CycleC等智能验证方法。VCS和Scirocco也支持混合语言仿真。VCS和Scirocco都集成了Virsim图形用户界面,它提供了对模拟结果的交互和后处理分析。 六 Power Compiler Power Compiler?提供简便的功耗优化能力,能够自动将设计的功耗最小化,提供综合前的功耗预估能力,让设计者可以更好的规划功耗分布,在短时间内完成低功耗设计。Power Compiler嵌入Design Compiler/Physical Compiler之上,是业界唯一的可以同时优化时序、功耗和面积的综合工具
Synopsys工具是一套用于电子设计自动化的软件工具集,包括了各种用于电路设计、仿真和验证的工具。下面是synopsys工具安装教程: 1. 下载软件:首先,你需要从synopsys官方网站或其他合法来源下载你所需的synopsys工具软件。确保你下载的软件版本与你的操作系统兼容。 2. 解压文件:下载完成后,将安装文件解压到你想要安装的目录中。你可以使用常见的解压工具,如WinRAR或7-Zip。 3. 检查系统要求:在安装之前,确认你的电脑是否满足软件的最低系统要求。这些要求通常包括操作系统版本、处理器速度、内存容量等。 4. 运行安装程序:在解压完成后,你会找到一个安装程序。双击打开它,并按照向导中的指示进行安装。 5. 安装选项:安装过程中,你会被要求选择安装选项。根据你的需求选择合适的选项。例如,你可以选择安装所有工具或只安装你需要的特定工具。 6. 设置许可证:在安装过程中,你需要提供合法的许可证文件或许可证服务器的信息。确保你的许可证文件或服务器信息是正确的,并按照指示输入它们。 7. 完成安装安装程序会根据你的选择和设置进行安装。等待安装完成,并根据提示进行配置。 8. 验证安装安装完成后,你可以尝试打开其中一款工具来验证安装是否成功。如果成功打开并正常运行,那么你已经成功安装synopsys工具。 请注意,以上是一个概括性的安装教程,并且可能因软件版本和操作系统而有所不同。在具体安装过程中,请始终参考官方的安装指南和文档。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值