Verdi非常实用技巧

1 篇文章 0 订阅

1. 基本快捷键操作

1.1 波形文件保存.rc

使用verdi保存已经拉出来的信号方便下次使用,按键 shift+S 可以将波形保存为xxx.rc格式文件,使用波形文件时,按快捷键 r 打开界面,选择波形
在这里插入图片描述

1.2 mark标记功能

按键 shift+M 使用mark功能标记一下,可以自定义名称和颜色,方便查找。
在这里插入图片描述

1.3 显示波形信号全路径

H 显示波形信号全路径,再按H撤销。不区分大小写。
在这里插入图片描述

1.4 改变信号颜色

按键C或者T修改信号颜色。按T更实用,每按一次颜色自动改变。不区分大小写。
在这里插入图片描述

1.5 查找信号

找到模块里的某个信号,按?弹出find string对话框进行查找。
在这里插入图片描述

1.6 搜索源代码

源代码窗格里提供了三种搜索指令,功能各不相同,用来应付不同的使用场景。

1) Find scope

Find scope适用于整个chip里面的查找。前面提到的应用场景如下:

  • Source –> find scope或者 按shift+S

  • 在弹出对话框里Scope Type选module

  • Filter内填要找module关键字

  • Instance list 里面选择一个,点Go To,source code就会打开该module
    在这里插入图片描述

另外,对话框最下面列出了整个设计中找到的个数,可以知道该module被instance次数。

2) Find Signal/Instance/instport

这个指令可以查找指定模块内的信号、实例或输入输出端口。这个指令的好处是可以把要查找的内容都列出来,想看哪一个就用鼠标点一下,就会切换到源代码窗格对应位置。当模块内要查找的signal(instance or input/output port)比较多时,可以快速的找到想看的signal。

操作方法如下:

  • source –> Find Signal/Instance/instport 或者shift+A
  • 选择要查找的类型:signal, instance or instport
  • 输入框里面输入信号名,点Find 按钮,下面会列出所有找到的信号, 点击某个信号,就会到达source code 里面对应的信号
    在这里插入图片描述

2) Find String

Find string应该是debug 过程中使用频率最高的查找指令了。只要在输入框里面输入部分或全部要查找的字符串,再点一下find 按钮就可以了。

这个指令可以在当前文档(current File)或者全部文档(All file)里面查找,因为字符串查找匹配得比较多,要根据需要选择在当前文档或全部文档查找,以提高查找效率。

因为使用频率高,Verdi也很贴心的在默认工具栏里放置了Find string的输入窗口,如下:

输入栏输入字符串有几种方法

  1. 手动输入,好处是可以只输入部分字符,不用全部输入信号名
  2. 点击信号,按中键拖入输入栏,然后点向上或向下查找,找同名信号
  3. 点击信号,按快捷键”Ctrl+F”,信号名就会自动输入到输入栏。这个快捷键很好用,大家要善加利用哦。

在这里插入图片描述

2. 波形解析

2.1 Bus Operation总线拆分

一个多比特的bus拆分成几个位宽较小的bus:
右键/Signal -> Bus Operations -> Expand as Sub-bus
在这里插入图片描述
将32bit分割为4个8bit bus.
在这里插入图片描述
分割结果:
在这里插入图片描述

2.2 Logical Operation逻辑运算

可以通过对现有信号执行的逻辑操作创建新信号,或者搜索信号值。
右键/Signal -> Logical Operation

数值搜索

在这里插入图片描述

逻辑操作

例如:两信号进行与运算,也可以进行其他运算。
在这里插入图片描述
相与之后结果:
在这里插入图片描述

2.3 Set Search Value数值搜索、上升沿和下降沿搜索

进行数值搜索、上升沿和下降沿搜索
在这里插入图片描述
选中信号,按n向后搜索,N向前搜索;或者按左右小箭头进行查找搜索。例如:
数值搜索:
在这里插入图片描述
上下沿搜索:
在这里插入图片描述

3. 自动计数

3.1 Grid Options网格计数

可以指定在波形窗中绘制网格线。选项包括Rising Edge, Falling Edge和 Both Edges。

View -> Grid Options 选中Grid on和Grid counter with Start Number
在这里插入图片描述
如图,以AXI_AWVALID上升沿为例,网格线下方出现了计数,用于统计上升沿个数。
在这里插入图片描述

3.2 自动计数

右击AXI_WVALID信号,选择Add Counter Signal by,选择上升沿、下降沿、任意沿中的一个。

在这里插入图片描述
选择AXI_WVALID信号的上升沿为例子,波形中自动添加一个counter用于统计。
在这里插入图片描述

4.Signal Event Report统计

统计出某个信号在特定时间段内的跳变的次数。

  • 按鼠标滑轮中建,添加一条mark标记线用于选择统计区间。
  • View -> Signal Event Report

在这里插入图片描述
Rising:指的是指定Marker时间段内上升沿数;
Falling:指的是指定Marker时间段内下降沿数;
备注:利用Logical Operation和Signal Event Report可以统计非连续有效信号

5. Waveform Compare比较波形:

nWave提供了一种综合比较自动比较不同结果的能力。在比较完成后,nWave以图形方式显示波形窗格中的任何不匹配,然后可以通过每个不匹配来分析差异。
Tools -> Waveform Compare
在这里插入图片描述

5. Verdi emulation波形加载

5.1 打开波形

打开常规波形:

verdi -dbdir ./simv.dair -ssf debug.fsdb

打开emulation的波形:

verdi -dbdir ./simv.dair -ssf debug.zwd

打开emulation转换前的波形:

verdi -emulation --zebu-work zebu.work --timescale 1ns --input debug.ztdb

5.2 打开 source code

如果没有kdb文件,可以通过flist打开source code

verdi -f tb_top.flist
  • 52
    点赞
  • 368
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
### 回答1: 《Verdi用户指南》是一本详细介绍使用Verdi验证工具的手册。Verdi是一种常用的硬件验证工具,广泛应用于数字电路验证领域。这本用户指南旨在帮助读者了解Verdi的基本操作和高级功能,并指导读者如何使用Verdi进行电路验证。 这本用户指南首先介绍了Verdi的基本概念和工作原理。它解释了Verdi的核心组成部分,包括信号触发器、波形显示器和报告生成器等。读者可以通过学习这些基础知识,深入了解Verdi的工作流程和功能。 接着,用户指南详细介绍了Verdi的各种功能和操作方法。它讲解了如何加载设计文件、设置断点、调试信号、查看波形和报告等。读者可以根据自己的需要选择合适的操作步骤,提高电路验证的效率和准确性。 此外,用户指南还包括了一些高级功能和技巧。例如,它介绍了如何使用Verdi进行时序分析、时钟域交叉比较和电源敏感性分析等。这些高级功能可以帮助读者更全面地了解和验证设计电路的性能。 最后,用户指南还提供了一些常见问题和解决方法,以帮助读者解决在使用Verdi过程中遇到的困惑和挑战。 总而言之,《Verdi用户指南》是一本全面而详细的手册,为读者提供了关于Verdi验证工具的详细介绍和操作指导。它适用于从初学者到资深工程师的各个层次的读者,帮助他们更好地应用Verdi进行数字电路验证。 ### 回答2: 《Verdi用户指南》是一本详细介绍了Verdi工具的使用方法和功能的指南。Verdi是一款在VCS仿真环境下用于调试和分析查看数字设计波形图的工具。 《Verdi用户指南》可以帮助用户了解Verdi的基本概念和使用方法。首先,指南会介绍如何正确配置Verdi的环境和启动Verdi工具。包括设置仿真环境变量、加载必要的库文件等等。 接着,指南会详细介绍Verdi的各个功能模块。例如,它会解释如何使用Verdi的波形查看器功能来观察设计的波形图,并且指导用户如何使用层次化视图和信号过滤器来快速定位关键信号。此外,指南还会介绍Verdi的调试功能,例如如何设置断点、观察变量的值等等。 此外,《Verdi用户指南》还会向用户展示如何使用Verdi的时间轴视图功能来分析设计波形的时序关系,以及如何使用层次化导航功能和交叉探究功能来深入了解设计的内部结构和信号传播路径。 最后,指南还会提供一些常见问题和故障排除的解决方案,以帮助用户在使用Verdi过程中遇到问题时能够快速找到解决方法。 总的来说,《Verdi用户指南》是一本非常有用的指南,可以帮助用户充分发挥Verdi工具的功能,提高数字设计的调试和分析效率。无论是初学者还是有经验的用户,都可以从中获得实用的技巧和知识。 ### 回答3: 《Verdi用户指南》是针对Verdi仿真调试工具的一个详细说明文档。Verdi是一款广泛应用于硬件设计领域的工具,它能够帮助工程师进行RTL和门级仿真、调试以及覆盖率分析等工作。 《Verdi用户指南》会详细介绍如何使用Verdi工具进行仿真调试。首先,它会介绍Verdi的安装和配置,包括如何选择适合的版本和安装步骤。然后,它会详细说明Verdi的界面和功能,包括如何打开设计文件、添加观察点、设置断点以及运行仿真等操作。 此外,《Verdi用户指南》还会介绍一些高级功能,如Verdi的调试功能和波形窗口的使用方法。它会解释如何使用Verdi的时序窗口、信号窗口和层次窗口等工具来查看设计的波形,以及如何使用观察点来监视信号值的变化。此外,它还会介绍如何使用Verdi进行覆盖率分析,以帮助工程师评估设计的测试覆盖率。 总之,《Verdi用户指南》是一本详细而全面的手册,旨在帮助工程师更好地理解和使用Verdi工具。通过熟练使用Verdi,工程师可以更高效地进行RTL和门级仿真、调试和覆盖率分析等工作,从而提高硬件设计的质量和效率。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值