verdi实用操作

文章目录


verdi

  1. 移动波形 鼠标中键
  2. 信号n进制 waveform->signal value radix(选中信号后才能操作),鼠标右键就可以选择radix进行操作。
  3. 保存波形设置:shift+s
  4. 读取波形设置:r
  5. (波形格式是rc,记得要在wave界面用,不然是session类型的)
  6. reload波形:L(只需要make sim,然后reload即可,不需要make verdi)
  7. reload代码:L(reload波形,不会重载代码,在代码的区域使用L即可)
  8. 显示信号的层级(怎么例化来的): H
    定位黄虚线(时间线)后,鼠标左键从黄线左边拖动到右边(必须是水平拖动,然后波形会根据黄线所在的位置放大到合适位置。
  9. 可以在波形的菜单栏输入波形的仿真时间单位和仿真时间,让黄虚线定位到哪个仿真时间(结合log看会很方便)
  10. 鼠标中键拖动wave的信号到代码所在区域,可以直接追到代码所在的地方。(方便debug) 双击代码变量,可以追到上一级信号(查到信号怎么来的),也可以用右键选择trace的drive和load来追信号
  11. 鼠标中键拖动代码的信号到上方的搜索框,可以快速find 信号。
  12. 在代码中,选中多个信号之后,ctrl+4可以直接添加到波形中。
  13. 可以在wave的菜单栏中tools下选择建立新的waveform波形窗口(一个窗口看所有信号,一个窗口看关键的几个有关系的信号)
  14. verdi -f dut.f -f tb.f 打开verdi且关联代码。进入gui后需要打开fsdb才能打开波形。
  15. uvm中,能在wave中显示的只有,tb_top,dut_top,还有interface_bus,还有tb和dut连接的信号。如果想要显示验证环境里的信号,比如rm的信号,可以在tb_top中 wire = tb.top.xxx.xxx.rm.aaa;然后在波形中就可以显示了。

如果在rtl中用了数组/reg memory,需要在tb中额外加入$fsdbDumpMDA(),才能在verdi中捕捉到信号!

  • 5
    点赞
  • 37
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
回答: 根据引用\[1\]中的内容,LM_LICENSE_FILE是Verdi LICENSE所存放的路径。Verdi是Synopsys公司的一个EDA工具。根据引用\[2\]中的内容,Debussy是Synopsys公司提供的一个Windows版本的EDA工具,可以在Win7的64位系统下运行。如果你想在Windows系统上使用Verdi,你可以参考引用\[2\]中提供的链接,下载并安装Debussy 5.4v9 NT破解程序及所需的DLL文件。另外,根据引用\[3\]中的内容,如果你想在Windows系统上使用Verdi,你也可以考虑使用Docker来运行Ubuntu 18.04镜像,并在镜像中安装Verdi。 #### 引用[.reference_title] - *1* [【开发环境】 Verdi工具安装教程](https://blog.csdn.net/dengya1944/article/details/102414597)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [verdi windows版本[使用debussy 5.4]](https://blog.csdn.net/u011729865/article/details/52746076)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [win10下通过Docker使用vcs和verdi](https://blog.csdn.net/weixin_42369496/article/details/116710878)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值