Verilog HDL 遇到的问题和修改方法

 

1.Illegal output port connection for 'Q' (4th connection) to reg type.

我一开始编译的时候是没有问题的但是到了仿真testbanch 的时候就出现了

上一级module Q是这样定义的output reg Q 

然后我这一级调用的时候是reg[2:0] counter

dff_sr U1(.Q(counter[0]));

修改方法是将reg[2:0] counter 改为wire[2:0] counter  就可以了

 

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值