Illegal output or inout port connection for port 'load'.

今天在用modelsim仿真的时候遇到这个报错,后来发现原因是在verilog的源代码中,把输入的io口load的类型本来应该是input结果自动补全写成了inout,所以引起报错。

处理方法,要么verilog代码把inout改回input,或者modelsim仿真的testbench对应inout类型的端口赋值时,应该用wire类型而不是reg。

https://www.cnblogs.com/navieli/archive/2013/03/05/2944892.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值