1G/2.5G Ethernet PCS/PMA or SGMII

一、SGMII基本概念

引自:GMII,RGMII,SGMII,TBI,RTBI接口信号、时序分析_sgmii物理波形-CSDN博客

SGMII即Serial GMII,串行GMII,收发各一对差分信号线,时钟频率625MHz,在时钟信号的上升沿和下降沿均采样,所以总的数据速率为1.25Gbps=625Mbps*2。参考时钟RX_CLK由PHY提供,是可选的,主要用于MAC侧没有时钟的情况,一般情况下,RX_CLK不使用。收发都可以从数据中恢复出时钟。在TXD发送的串行数据中,每8比特数据会插入TX_EN/TX_ER 两比特控制信息,同样,在RXD接收数据中,每8比特数据会插入RX_DV/RX_ER 两比特控制信息。 大多数MAC芯片的SGMII接口都可以配置成SerDes接口(在物理上完全兼容,只需配置寄存器即可),直接外接光模块,而不需要PHY层芯片,此时时钟速率仍旧是625MHz,不过此时跟SGMII接口不同,SGMII接口速率被提高到1.25Gbps是因为插入了控制信息,而SerDes端口速率被提高是因为进行了8B/10B变换,本来8B/10B变换是PHY芯片的工作,在SerDes接口中,因为外面不接PHY芯片,此时8B/10B变换在MAC芯片中完成了。

在1G/2.5G Ethernet PCS/PMA or SGMII IP核中是通过GT Transceiver将GMII的接口转换成SGMII的接口。

二、1G/2.5G Ethernet PCS/PMA or SGMII IP核应用场景

1、Ethernet 1000BASE-X or 2500BASE-X

当采用Ethernet 1000BASE-X or 2500BASE-X标准以太网协议的时候,此IP核可以为特定的设备提供1/2.5G速率下的PCS/PMA的物理子层。

  • PMA连接到一个外部现成的千兆接口转换器(GBIC)或可插拔(SFP)光收发器,以完成以太网端口。
  • 以太网1000BASE-X或2500BASE-X PCS/PMA的GMII接口连接到一个MAC层,比如说Tri Mode Ethernet MAC IP核。

2、作为GMII接口到SGMII接口之间的转换桥 

下图显示了典型应用场景,其中IP核使用特定于设备的收发器提供GMII到SGMII的转换桥。

  • 此设备可以是一个外部现成的PHY芯片,支持1G/2.5G的SGMII线速率。比如说可以是一个三速的PHY,可以提供10BASE-T、100BASE-T和1000BASE-T操作。
  • IP核的GMII接口连接到一个MAC,可以是用户自己定义的MAC,也可以是Tri Mode Ethernet MAC IP核。

3、作为SGMII到GMII接口之间的转换

如下的场景提供了SGMII到GMII接口之间的解决方案。

  • GMII的接口连接的可以是一个现成的PHY芯片,可以提供10BASE-T、100BASE-T和1000BASE-T操作。
  • SGMII接口连接的是一个MAC,这里的MAC可以是Tri Mode Ethernet MAC IP核。

 

4、PCS子层部分功能

在使用一个特性设备的Transceiver时,这个IP也可以起到一个PCS/PMA子层的作用。例如它提供了一些PCS层的功能,如8B/10B编码/解码、PMA服务器化器/去服务器化器(SerDes)和时钟恢复 。这一部分可以翻阅PG047.

三、 IP核设置

MAC选择

这里主要选择是使用的那种MAC,在这里选择Tri Mode Ethernet MAC。

线速率选择

这里主要选择线速率,对于1G来说,1000Base-X的标准,速率回达到1000M。对于SGMII的模式速率有10M/100M/1000M三种模式。

标准选择

 选择标准,这里选择1000Base-X,SGMII就是将接口形式从SFP转换成SGMII。

BOTH:在1000BASE-X和SGMIL标准之间进行动态切换,哪个稳定先与哪个建立连接。

这些接口的选择与上述第二节讲述的使用场景有关。

核的功能

物理接口形式:在这里选择GT Transceiver。 Transceiver的参考时钟选择156.25M,DRP时钟50M。

接收GMII时钟源:输入IP核内部的MMCM的时钟源选择为GT收发器输出的时钟TXOUTCLK(默认选项即可),该MMCM将产生我们所需要的接口时钟。

管理选项:MDIO管理接口和自协商,这里提一下,采用SGMII外接PHY芯片时候,MDIO是为了配置PHY芯片用的,一般PHY默认配置就可以正常使用(暂时都用不到,不选)。

共享逻辑:选择将共享逻辑放在例子工程中。

四、快速搭建工程

可以将例子工程下面的模块搬移过来快速搭建一个工程,如下:

参数配置可以采用如下的参数,具体含义可以参考手册。

如果同时使用两个PCS/PMA核,那么就需要将core_clocking模块中的IBUFDS_GT4 原语单独拿出来,因为同一个参考时钟去驱动两个IBUFDS_GT4在布线的时候会出现错误。

  • 27
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值