vcs
sxlwzl
这个作者很懒,什么都没留下…
展开
-
vcs的plusagrs使用技巧
1,top.sv的代码。2,vcs的m原创 2014-05-10 17:02:27 · 2816 阅读 · 0 评论 -
vcs随机化的使用
1,传递seedmodule top();initialbegin int test_args1; int seed; $value$plusargs("seed=%d",seed); test_args1 = $urandom(seed); $display("test_args1 = %d", test_args1); $finish;原创 2014-05-12 00:17:00 · 2825 阅读 · 0 评论 -
vcs联合编译v/sv/c++代码
1,假设有三个top.svdpi.hdpi.cc原创 2014-06-11 07:36:23 · 11432 阅读 · 0 评论 -
sv中随机化seed的设置
class Packet; rand bit [31:0] src_addr, des_addr, data[4]; constraint c { src_addr >10; des_addr >10;}endclassinitial begin Packet p1; p1=new(); p1.srandom(100); asse原创 2014-08-25 22:51:51 · 5689 阅读 · 0 评论 -
UVM::display的级别和显示方式
`uvm_fatal("", "");原创 2014-08-26 23:14:05 · 13563 阅读 · 0 评论 -
vcs generate coverage report
http://www.testbench.in/CO_04_COVER_POINTS.html原创 2014-10-21 17:54:10 · 1032 阅读 · 0 评论 -
vcs dump vpd的方法
1,现在top_tb.sv中打开vpd的设置initial begin $vcdpluson;end2,在Makefile中原创 2014-11-16 18:02:22 · 8375 阅读 · 0 评论 -
uvm cmd传递参数 $test$plusargs $value$plusargs
1,$test$plusargsif($test$plusargs) $value$plusargs原创 2014-11-12 22:00:54 · 2225 阅读 · 0 评论