UVM::display的级别和显示方式

8 篇文章 0 订阅


`uvm_fatal("CFG", "config error");

`uvm_error("ERROR", "address error");

`uvm_warning("WARN", "warning message");

这三个是都会显示。


`uvm_info("module", "description", UVM_LOW);

`uvm_info("module", "description", UVM_MEDIUM);

`uvm_info("module", "description", UVM_HIGH);

`uvm_info("module", "description", UVM_FULL);

`uvm_info("module", "description", UVM_DEBUG);


LOW<MEDIUM<HIGH<FULL<DEBUG

LOW的显示信息最少,DEBUG的显示信息最多。


可以使用UVM_VERBOSITY=UVM_LOW等来配置显示级别。

./simv +UVM_TESTNAME=hello_world +UVM_VERBOSITY=UVM_DEBUG


 `uvm_info("TEST", "hello_world", UVM_MEDIUM);  

显示结果:

uvm_test_top [TEST] hello_world 


  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值