`uvm_fatal("CFG", "config error");
`uvm_error("ERROR", "address error");
`uvm_warning("WARN", "warning message");
这三个是都会显示。
`uvm_info("module", "description", UVM_LOW);
`uvm_info("module", "description", UVM_MEDIUM);
`uvm_info("module", "description", UVM_HIGH);
`uvm_info("module", "description", UVM_FULL);
`uvm_info("module", "description", UVM_DEBUG);
LOW<MEDIUM<HIGH<FULL<DEBUG
LOW的显示信息最少,DEBUG的显示信息最多。
可以使用UVM_VERBOSITY=UVM_LOW等来配置显示级别。
./simv +UVM_TESTNAME=hello_world +UVM_VERBOSITY=UVM_DEBUG
`uvm_info("TEST", "hello_world", UVM_MEDIUM);
uvm_test_top [TEST] hello_world