自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(10)
  • 资源 (38)
  • 收藏
  • 关注

原创 音频信号的基波、谐波

在振动学里认为一个振动产生的波是一个具有一定频率的振幅最大的正弦波叫基波。这些高于基波频率的小波就叫作谐波。谐波是指对周期性非正弦交流量进行傅里叶级数分解所得到的大于基波频率整数倍的各次分量,通常称为高次谐波,而基波是指其频率与工频(50Hz)相同的分量。在电力系统中谐波产生的根本原因是由于所致。当电流流经负载时,与所加的电压不呈线性关系,就形成,即电路中有谐波产生。谐波研究的意义,道德是因为谐波的危害十分严重。

2021-10-30 18:13:37 27683

原创 音频功放的失真的原因分析及测量

文章目录1 线性失真幅频响应相频响应2 非线性失真交越失真削波失真瞬态响应失真界面互调失真噪声3 失真的测量4 小结失真又称“畸变”,指信号在传输过程中与原有信号和标准相比所发生的偏差。在理想的放大器中,输出波形除按比例放大外,应与输入波形完全相同,但实际上,不能做到输出与输入的波形完全一样,这种现象叫失真。音频功放电路产生的的失真分为线性失真和非线性失真两类。1 线性失真线性失真分为**幅频响应(幅度—频率响应)出现错误和相频响应(相位—频率响应)**出现错误两种。产生线性失真的器件,尽管会令信号的

2021-10-29 10:23:46 13443 1

转载 用户态与内核态

1.什么是用户态、内核态一般的操作系统对执行权限进行分级,分别为用保护态和内核态。用户态相较于内核态有较低的执行权限,很多操作是不被操作系统允许的,原因简单来说就是用户态出现问题(自己写的bug),也不能让操作系统崩溃呀。内核态相当于一个介于硬件与应用之间的层,内核有ring 0的权限,可以执行任何cpu指令,也可以引用任何内存地址,包括外围设备, 例如硬盘, 网卡,权限等级最高。用户态则权利有限,例如在内存分配中,有一部分内存是仅为内核态使用的,用户态code则不允许访问那些内存地址,每个进程只允

2021-10-25 15:19:54 244

转载 C语言函数如何正确返回数组?

一个错误的例子#include<stdio.h>int* function(){ int a[5]; a[0] = 1; a[1] = 2; a[2] = 3; return a;}int main(){ int* b; b = function();// printf("123\n"); printf("第一次%d%d%d%d\n",b[0],b[1],b[2],b[3]); printf("第二次%d%d%d%d\n",b[0],b[1],b[2],b[3]);

2021-10-20 14:04:30 18336 2

原创 C语言读取写入 ini 配置文件

文章目录一、了解什么是INI文件?二、INI文件的格式三、解析上述文件四、测试如下一、了解什么是INI文件?ini 文件是Initialization File的缩写,即初始化文件,这是用来配置应用软件以实现不同用户的要求。二、INI文件的格式INI文件由节、键、值组成。一个简单的的INI文件例子如下:[Setting]INIT_FLAG=0;VOLUME=1;LANGUAGE=1;如上例子,[Setting]就是节,=号左边的值是键,=号右边的是值。三、解析上述文件/*ini.h

2021-10-17 13:41:08 7101 2

原创 PCB设计中如何区分 滤波电容、去耦电容、旁路电容

文章目录旁路电容和去耦电容的区别电容种类繁杂,但无论再怎么分类,其基本原理都是利用电容对交变信号呈低阻状态。交变电流的频率f越高,电容的阻抗就越低。旁路电容起的主要作用是给交流信号提供低阻抗的通路;去耦电容的主要功能是提供一个局部的直流电源给有源器件,以减少开关噪声在板上的传播和将噪声引导到地,加入去耦电容后电压的纹波干扰会明显减小;滤波电容常用于滤波电路中。对于理想的电容器来说,不考虑寄生电感和电阻的影响,那么在电容设计上就没有任何顾虑,电容的值越大越好。但实际情况却相差很远,并不是电容越大

2021-10-10 20:13:11 5038

原创 同步、异步、阻塞、非阻塞理解

1、同步所谓同步,就是发出一个功能调用时,在没有得到结果之前,该调用就不返回或继续执行后续操作。 简单来说,同步就是必须一件一件事做,等前一件做完了才能做下一件事。 例如:B/S模式中的表单提交,具体过程是:客户端提交请求->等待服务器处理->处理完毕返回,在这个过程中客户端(浏览器)不能做其他事。2、异步异步与同步相对,当一个异步过程调用发出后,调用者在没有得到结果之前,就可以继续执行后续操作。当这个调用完成后,一般通过状态、通知和回调来通知调用者。对于异步调用,调用的返回并不受调用者控

2021-10-08 17:15:25 587

原创 程序运行过程中的内存分配

BSS段:BSS段(bss segment)通常是指用来存放程序中未初始化,或初始化为0的全局变量,静态局部变量的一块内存区域。BSS是英文Block Started by Symbol的简称。BSS段属于静态内存分配。数据段:数据段(data segment)通常是指用来存放程序中已初始化为非0的全局变量的一块内存区域。数据段属于静态内存分配。代码段:代码段(code segment/text segment)通常是指用来存放程序执行代码的一块内存区域。这部分区域的大小在程序运行前就已经确定,并且内.

2021-10-08 17:14:02 776

原创 数组、链表、队列、栈理解

数组一种线性数据结构,使用一组连续的内存空间存储一组具有相同类型的数据。同样是线性结构的还有链表、队列等。它在内存空间中的存储是连续的,不间断的,前后两个元素紧挨着,不存在间隙。通过下标快速定位到数组中的元素,且时间复杂度是O(1)链表链表,它也是一种线程数据结构,与数组不同的是,它在内存空间中不一定是顺序存储的,为了保证链表中元素的连续性,一般使用一个指针来找到下一个元素。链表不具有随机访问的特性,在链表中根据索引来查找元素只能从头开始(单链表),它的时间复杂度是O(n)双向链表既可以当

2021-10-08 17:12:19 267

原创 OSI参考模型理解

<1> 应用层OSI参考模型中最靠近用户的一层,是为计算机用户提供应用接口,也为用户直接提供各种网络服务。我们常见应用层的网络服务协议有:HTTP,HTTPS,FTP,POP3、SMTP等。实际公司A的老板就是我们所述的用户,而他要发送的商业报价单,就是应用层提供的一种网络服务,当然,老板也可以选择其他服务,比如说,发一份商业合同,发一份询价单,等等。<2> 表示层表示层提供各种用于应用层数据的编码和转换功能,确保一个系统的应用层发送的数据能被另一个系统的应用..

2021-10-08 17:10:10 415

Linux嵌入式字符设备驱动模块(自动创建设备节点)modules_chrdev_auto

详细教程参考文章https://blog.csdn.net/szm1234/article/details/113615535

2021-02-08

Linux嵌入式飞凌开发板GPIO驱动模块modules_gpio_test

配套教程https://blog.csdn.net/szm1234/article/details/113586118

2021-02-08

Linux嵌入式应用层和内核层数据传输modules_file_operations

配套教程https://blog.csdn.net/szm1234/article/details/113487063

2021-02-08

Linux嵌入式杂项设备驱动模块modules_misc

配套教程https://blog.csdn.net/szm1234/article/details/113419471

2021-02-08

Linux嵌入式驱动模块modules_helloworld

自己编写测试,教程详见文章https://blog.csdn.net/szm1234/article/details/112601700

2021-02-08

QT编写的定时器Time

绝对好使

2021-02-08

QT编写的UDP上位机

绝对好使,配合教程https://blog.csdn.net/szm1234/article/details/112384152

2021-02-08

QT编写的TCP服务器

绝对好使,配合教程https://blog.csdn.net/szm1234/article/details/112384152

2021-02-08

QT编写的TCP客户端上位机

绝对好使,配合教程https://blog.csdn.net/szm1234/article/details/112384152

2021-02-08

STM32F407 HAL库实现EEPROM功能(实战项目中使用)

STM32F407 HAL库实现EEPROM功能(实战项目中使用),CubeMX生成初始化函数之后,对于EEPROM的实现,还是需要修改很多内容,从IIC到EEPROM服务函数,都打包在了资料中

2020-11-16

STM32F407 HAL库添加标准库中的delay函数

STM32F407 HAL库添加标准库中的delay函数,HAL库中只有HAL_Delay()函数实现延时,单位是ms,要实现us延时,不像标准库中delay_us()就可以了,所以增加了这个函数,来方便标准库转移到HAL库中的朋友使用习惯。

2020-11-16

STM32F407 EC11旋转编码器驱动函数

STM32F407 EC11旋转编码器驱动函数,里面写了好多个版本,可以根据需要使用,这个只是实现功能,实际要用,一般都在中断中,按照思路改到中断就可以了。

2020-11-16

AD8361芯片手册.pdf

官方的英文芯片手册,尽量还是看英文吧,虽然费劲。这个芯片针对射频功率的检测还是挺靠谱的,芯片手册主要也是内部结构还有参数等介绍。

2020-11-16

I.MX6Q英文参考手册(NXP官方)

i.mx6开发必备,NXP官网下载还得注册什么的,需要的还是去NXP官网直接下载吧,要是嫌麻烦,直接下载这个也一样。

2020-11-16

udp_echoserver DP83848网络服务函数库

udp_echoserver DP83848网络服务函数库,配合教程完美实现数据的收发,测试平台STM32F407,其他同理

2020-09-01

神经网络九步学习法(真正的深入浅出)

这个神经网络九步学习法是微软亚洲研究院推荐的,从最简单的讲起,彻底搞明白神经网络到底是怎么一会事儿,吃透了这个,后面的所有都是万变不离其宗

2020-08-02

魔笛stm32网络收音机.rar

基于STM32的网络收音机,附说明书,网络音频的实时传输的实现,感兴趣的欢迎一起交流研究,现在怎么还非得五十个字才行,还得凑字数,越来越麻烦了

2020-07-26

STM32超级好用的LM75库函数

这里需要LM75芯片A0 A1 A2全部接地才能用,不然需要更改I2C的地址,STM32下效果非常好

2020-06-13

绝对简单好用的迪文串口屏串口通讯协议库(STM32)

STM32环境下,绝对简单好用的迪文串口屏串口通讯协议库,这个我已经实际用了,实测DMT48270C043_04WN可以完美应用

2020-06-13

PIO_ESP32离线包

PIO_ESP32离线包 VS Code安装platformIO疯狂踩坑记 Arduino环境配置 https://blog.csdn.net/szm1234/article/details/124784452

2022-05-15

13.带FIFO的ADDA实验

配套文章 https://blog.csdn.net/szm1234/article/details/123454871?spm=1001.2014.3001.5501 本实验在DAC FIFO实验的基础上完成 把DAC输出模拟信号自环给ADC的模拟输入 ADC使用25MHz的时钟信号采样 ADC的输出的数据信号,用ILA抓取观察波形 用VIO配置频率字,分别生成1MHz和3MHz的DDS正弦波形,用Matlab分析频谱,验证频率的正确性。

2022-04-03

12.DAC FIFO实验

基于“DDS IP 数字波形合成DAC ” “ ADDA测试” 实验方案 用MMCM 把 合成出100MHz的时钟,让DDS工作在100MHz时钟 让DAC和DAC的接口电路工作在50MHz,此时DAC的采样率为50MHz 在DDS和DAC接口电路之间,放置一个带独立时钟的AXI-Stream-Data FIFO,FIFO两端的时钟分别为DDS的工作时钟100MHz和DAC的工作时钟50MHz DDS的数据输出接口需要有TREADY信号 DAC接口电路需要将FIFO输出端的AXI-S接口转换成DAC的接口格式,自行编写RTL代码完成该功能。 配套文章 https://blog.csdn.net/szm1234/article/details/123454871?spm=1001.2014.3001.5501

2022-04-03

11.DDS ADDA测试工程文件

注意,AN108是34针的插头,注意其插装位置,1脚和zynq底板对齐,不要插错 黑金AN108的低通滤波器通带为0-20MHz左右 基于“DDS IP 数字波形合成DAC ” 实验方案,使用50MHz时钟频率,使用DAC输出正弦波。 把DAC输出模拟信号自环给ADC的输入 使用MMCM分频,给ADC提供25MHz采样时钟 使用ILA捕获ADC的输出数据,不少于2048样点。 使用Matlab分析ADC数据频谱 用VIO更改频率字,生成1MHz和3MHz的正弦信号,用Matlab分析ILA数据验证频谱正确。 配套文章 https://blog.csdn.net/szm1234/article/details/123207043?spm=1001.2014.3001.5501

2022-04-03

10.DDS IP 数字波形合成

使用 Vivado的IPI工具,例化DDS IP DDS需要能够配置频率字(相位增量) DDS工作时钟使用PL的板载50MHz时钟 使用ILA工具观察波形, 使用VIO设定频率字 在ILA的波形窗口里,观察你设定的波形的周期,验证你频率字设定的正确性 把ILA波形导出到CSV文件,波形样点长度不小于2048点,在Matlab里分析波形的频谱,验证你生成波形的正确性。 使用VIO更改频率字,分别生成1MHz和3MHz的正弦波形。使用以上流程,验证你输出波形的正确性。 配套文章 https://blog.csdn.net/szm1234/article/details/123207043?spm=1001.2014.3001.5501

2022-04-03

Win11任务栏里华为电脑管家图标重叠怎么办?HwTrayWnd.dll

Win11任务栏里华为电脑管家图标重叠怎么办?

2021-08-05

WM8960 音频编解码芯片 PCB、SCH、数据手册

WM8960 音频编解码芯片 PCB、SCH、数据手册

2021-05-28

SP3485 RS485半双工芯片 PCB、SCH、数据手册

SP3485 RS485半双工芯片 PCB、SCH、数据手册

2021-05-28

SN75179 R485全双工芯片 PCB、SCH、数据手册

SN75179 R485全双工芯片 PCB、SCH、数据手册

2021-05-28

PCA9306D IIC电平偏移芯片 PCB、SCH、数据手册

PCA9306D IIC电平偏移芯片 PCB、SCH、数据手册

2021-05-28

HR911105A_RJ45 100M网口 PCB、SCH、数据手册

HR911105A_RJ45 100M网口 PCB、SCH、数据手册

2021-05-28

CH455G键盘扫描芯片PCB,SCH,数据手册

CH455G键盘扫描芯片PCB,SCH,数据手册

2021-05-28

AX88772A/B/C USB以太网芯片PCB,SCH,数据手册,参考电路

AX88772 USB以太网芯片PCB,SCH,数据手册

2021-05-28

24LC256 EEPROM存储器PCB,SCH,数据手册

24LC256 EEPROM存储器PCB,SCH,数据手册

2021-05-28

Python疫情数据的爬虫和可视化显示(实现地图数据显示)

配套博文https://blog.csdn.net/szm1234/article/details/112717515,Python疫情数据的爬虫和可视化显示,中国地图可视化显示

2021-02-10

Linux嵌入式驱动开发——平台总线实例modules_platform

配套博文https://blog.csdn.net/szm1234/article/details/113705050

2021-02-08

Linux嵌入式platform设备模块modules_platform_device

配套博文https://blog.csdn.net/szm1234/article/details/113705050

2021-02-08

Linux嵌入式platform驱动模块modules_platform_dirver

配套博文https://blog.csdn.net/szm1234/article/details/113705050

2021-02-08

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除