学习Testbench----数据存写

将图像数据读进modelsim后,需要使用以下语句引用,并将数据保存,便于使用matlab进行分析。

integer i;
integer source_dataout;

for (i = 0;i < cols*rows +5;i = i+1)
begin
if (i<cols*rows)
begin
#20 in_data = {mem_R[i],mem_G[i],mem_B[i]};
              //#20 $display("mem_R[%d] = %b",i,mem_R[i]);
end
$fdisplay(source_dataout,"%d",out_data);
end
$stop;

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值