数字电路基础与Quartus-II入门

本文介绍了使用Quartus-II 13和Modelsim进行FPGA开发的基础知识。内容包括Quartus-II的安装教程、Modelsim的特性,以及如何在Quartus-II中设计和仿真D触发器,详细阐述了从创建工程、制作波形文件到仿真运行的全过程。最后,还展示了Verilog HDL实现D触发器的仿真结果。
摘要由CSDN通过智能技术生成

实验软件

一、Quartus-II 13

  Quartus II 是Altera公司推出的综合性CPLD/FPGA开发软件,软件支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
安装教程

二、Modelsim

  modelsim是Mentor公司开发的优秀的HDL语言仿真软件。
它能提供友好的仿真环境,采用单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术和单一内核仿真技术,编译仿真速度快且编译的代码与平台无关。
安装教程

Quartus-II 设计D触发器

1.创建工程

在这里插入图片描述

  • 选择芯片
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值