quartus混合原理图实现

quartus混合原理图实现

顶层文件相当于入口,实现外部接口,其他文件模块有可能是在顶层文件中例化,顶层文件是不能被其它文件例化的。
component相当于是调用已有的模块

由于QuartusII中一个工程中只能有一个顶层文件,所以当在一个工程中同一级需要多个模块时,就需要模块之间的连接,主要方法是:
  1.编辑输入各个模块的verilog文件并保存,然后Processing->Analyze Current File
  2.变异没错误后,在左侧工程栏中选择该Verilog文件,鼠标右键->Creat Symbole Files for Current File
  3.新建原理图文件,在原理图文件绘图界面上双击鼠标左键或者选择左侧工具栏的与门符号,就会出现该verilog文件对应的原理图模块
  4.调用所有生成的原理图模块,连线得到同一模块的连接,构成同一层次上的原理图,然后综合,编译仿真
  (注意:连线后的原理图不能与先前生成的各个模块同名)

  • 3
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值