自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(15)
  • 资源 (14)
  • 收藏
  • 关注

原创 基于opencv SGBM的双目深度图提取在FPGA上的实现

代码分享于github: https://github.com/tishi43/sgbm主要包含以下部分:line_buffer_8row.sv, 从ddr读左图和右图,缓存8行,为什么8行,5x5的cost加窗运算最多要7行图像,此7行用来输出,另一行用来从ddr读入。calc_bt_cost.sv,代价计算,正向的代价计算,从x=minD开始到width-1,反向的代价计算,从x=width-1开始,计算到x=minD,为什么要反向,右左方向代价聚合时,是从最右边开始的,sg..

2021-09-14 15:01:08 1218 5

原创 opencv 的pyramid down函数verilog实现

实现opencv如下的函数,在pyramid lk算法中用到,其他地方也有用得到,单独拿出来。代码共享在github: https://github.com/tishi43/pyr_downtemplate<classCastOp, classVecOp> voidpyrDown_( constMat& _src, Mat& _dst, intborderType ){constintPD_SZ = 5;typede...

2021-09-14 13:53:53 326

原创 利用save_stack(),print_stack()查linux内核的内存泄露

在之前公司搞了5年的linux内核和驱动,打算翻翻笔记拿点东西出来写。查内存泄露时自己想的一个办法,经过多次考验是有效的,在32位,64位的arm上都试过,检查出来过原生内核的内存泄露bug,后来在mips系统也用过一次。简单的原理是,在内存分配的入口函数__alloc_pages_nodemask调用save_stack()把内存分配的堆栈保存下来,然后每隔一段时间比如两分钟,统计一下已分配出去的页是通过怎样的堆栈分配出去的,比如以下例子,如果统计出来非常多的页是通过下面堆栈分..

2021-09-13 19:16:31 512

原创 OpenCV pyramid lk(Lucas Kanade)光流算法自己的一些注释

参考如下:代码注释的一个参考文章 https://blog.csdn.net/findgeneralgirl/article/details/107919541原理参考文章https://blog.csdn.net/qq_41368247/article/details/82562165https://blog.csdn.net/sgfmby1994/article/details/68489944这两篇文章都是先写了光流的基本原理公式,再写LK光流的一些公式,最后写Pyramid

2021-07-15 18:36:43 1206

原创 OpenCV StereoBM深度提取算法自己的一些注释

参考了,网名叫伊卡洛斯,https://zhuanlan.zhihu.com/p/50801189他的另一篇SGBM的注释也是写得最好的先贴上整个过程函数调用关系和sgbm一样的,x方向的sobel[ -1,0,1][ -2,0,2][-1,0,1]求梯度,也可能不用梯度,而是用prefilterNorm,static voidprefilterXSobel( const Mat& src, Mat& dst, int ftzero

2021-07-14 15:52:28 534 2

原创 bitonic双调排序c代码和verilog实现

在实现pyramid lk算法时,其中需要对点按y,x坐标进行排序,在网上找了很多算法,最终选择这个算法,原因这个算法除了两两比较和换位没有其他复杂操作,很适合在fpga上实现。在fpga上如果需要排序的点比较多,实际应用就不能把这些点放到reg,只能放bram,而用bram,每个周期只能读写bram中的一项,网上能找到的所有代码都不适用了。测试工程里点的个数是2048点,bram一项存两个点,每个点位宽是50bit,使用16个点的reg作为缓存,如果为加快速度,可以增加1项bram存的点个数,

2021-07-01 00:14:12 959 2

原创 整数除法verilog实现支持pipeline

在sgbm算法中用到,算是经过考验过的,可以到我的github页面下载modelsim仿真工程http://github.com/tishi43/divmodule div_by_shift_sum #(parameter WidthD0=64,parameter WidthD1=32,parameter WidthQ=WidthD0+WidthD1)(input wire clk,...

2021-06-30 23:14:54 826

原创 DSP48E1 (primitive)原语例化实例2

DSP48E1 (primitive)原语例化实例2再补充两个比较常用的用法。1 o <= in1*in2-cOPMODE=7'b0110101ALUMODE=0001CARRYIN=1ALUMODE = 0001 可以实现- Z+ (X + Y) - 1 = not (Z) + X + Y。OPMODE[6:4]=011, Z选择CCARRYIN=1, 即实现-Z+(X+Y)-1+1=(X+Y)-Z, 把这个-1抵消了`time..

2021-06-30 22:57:47 631

原创 fpga布线笔记

fpga布线笔记刚开始研究fpga布线,当时认为vivado的布线器是笨的,感觉舍近求远,选择长的路线来布而不选短的,在xilinx论坛里发帖问了一大堆,每次都能得到很热心的回复,甚至觉得几乎都是秒回的,那里有两个大神id是avrumv 和drjohnsmith,几乎我的每个帖子他俩都来回了,而且一回就是一大堆,比我发的帖子写得还多1 同一个Slice里的4个LUT是内部不互联的,并不是想当然地认为,应该是连通的,内部连通net delay应该就等于0同一个CLB...

2021-06-18 18:44:30 2480 1

原创 变量(reg)未初始化的笔记

变量(reg)在全局reset时未初始化,仿真和实际上板跑有一个区别是仿真时未初始化的变量在波形里是红色的x,实际上板跑的时候是不会有这种信号。导致上板结果和仿真不一致。在实际调试时发现未初始化的变量在全局reset时并不一定是低电平的0,原因是程序中很多代码不依赖reset就已经开始跑了,最简单的例子always @ (posedge clk)a <= b;在未reset之前,a就依赖b而动了,在reset时a可能不是低电平的0了。有些状态机在未rese...

2021-06-18 15:22:25 951

原创 DSP48E1 (primitive)原语例化实例

DSP48E1 (primitive)原语例化实例之前倒腾dsp48e1的时候发现网上虽然有一些文章,但是大部分都是无用的文章,正如某位同仁说的,高手都忙于泡妞,哪有精力来写文章,把之前倒腾的代码贴出来供大家参考。先把OPMODE和ALUMODE贴上,来自网上的文章OPMODE用来决定下面这个图X,Y,Z这些mux的选择,一时半会看不懂,稍微花点时间是可以看懂的上图有两个X,圆圈里面的那个X是乘法,输出就是下面表格里的M,右边的这个X才是下面...

2021-06-18 10:09:18 1808

原创 Vivado 一些常用tcl命令笔记

Vivado 一些常用tcl命令笔记report_timing 报告从一个cell到另一个cell之间路径report_timing -from decode_stream_inst/slice_data_inst/cu_inst/mv_inst/scale_b/tb_reg[2] -to decode_stream_inst/slice_data_inst/cu_inst/mv_inst/scale_a/multadd_inst1/p_reg -name report_name...

2021-06-17 14:12:24 1624

原创 opencv SGBM代码注释

OpenCV SGBM算法自己的一些注释,网上有类似文章,自己再做一些补充,参考 https://blog.csdn.net/zhubaohua_bupt/article/details/51866567这篇文章对左右一致性检测写的很详细https://blog.csdn.net/qq_27606639/article/details/108831965?spm=1001.2014.3001.5502这篇文章代价计算,看这个就明白了还有一篇文章,网名叫伊卡洛斯,文章现在搜不...

2021-05-19 14:32:25 905 2

原创 h.265/HEVC解码器verilog实现

从今天开始陆续把以前工作,学习过程中的一些笔记,自己写的代码分享出来,给大家做参考,希望对大家有用。先分享自己写的h265解码器的代码,https://github.com/tishi43/h265_decoderc参考代码,https://github.com/tishi43/h265_c_reference实现语言是verilog,在zynq7035平台上验证。顶层文件是decode_stream.sv,主要包括stream接口用于向解码器喂码...

2021-05-13 14:17:05 2404 42

原创 H.265/HEVC解码器 C 参考代码

写verilog实现H265解码器前为了理解协议实现的一个简化版本的H265解码器C代码,是VS2013的工程,输入文件是test.265,工程里含有一些测试文件,重命令成test.265即可。编译,按F5跑即可,输出out.yuv,以及一些log文件。tishi43/h265_c_reference (github.com)主要参考H265标准,参考的是JCTVC-L1003_v34,ffmpeg和HM,代码尽量和标准写的保持一致,变量名字和标准也保持一致,便于理解,消除看HM和ff...

2021-05-13 12:50:58 1071

SpyGlass-LowPowerRules-Reference

spyglass安装目录自带文档

2024-04-19

SpyGlass-PowerVerifyAdvancedRules-Reference

spyglass安装目录自带文档

2024-04-19

SpyGlass-PowerEstimate-And-SpyGlass-PowerReduceRules-Reference

spyglass安装目录自带文档

2024-04-19

SpyGlass-MoreLintRules-Reference

spyglass安装目录自带文档

2024-04-19

SpyGlass-LintRules-Reference

spyglass安装目录自带文档

2024-04-19

SpyGlass-PowerVerifyMethodology-GuideWare2.0-UserGuide

spyglass安装目录自带文档

2024-04-19

SpyGlass-CDCMethodology-GuideWare2.0-UserGuide

spyglass安装目录自带文档

2024-04-19

SpyGlass-Explorer-UserGuide

spyglass 安装目录自带文档

2024-04-19

SpyGlass Built-In Rules Reference Guide

spyglass安装目录自带文档

2024-04-19

bitonic双调排序算法,包括c代码和verilog实现

bitonic双调排序算法,包括c代码和verilog实现 也可以到我的github页面下载 https://github.com/tishi43/bitonic_my https://github.com/tishi43/bitonic_verilog

2021-06-30

整数除法,fpga上实现,div.7z

,整数除法支持pipeline,verilog 也可以在我的github页面下载 https://github.com/tishi43/div

2021-06-30

h265_decoder.zip

h.265/hevc verilog实现代码,在zynq7035上验证过 也可以去我的github页面下载 https://github.com/tishi43/h265_decoder

2021-06-30

h265_c_reference.7z

通俗易懂版本的代码,结合spec看,适合初学者 也可以直接去我的github页面下载, https://github.com/tishi43/h265_c_reference

2021-06-30

smbus2.0 smbus协议

smbus2.0标准,希望对学习i2c和smbus协议的人有所帮助

2010-07-11

Inside_the_Java_Virtual_Machine.chm

讲java虚拟机原理的英文书,希望对学习java虚拟机技术的人有所帮助

2010-04-15

ISO-IEC-14496-16. MPEG-4 Part 16. Animation Framework eXtension (AFX).pdf

mpeg4标准第16部分,希望对正在学习mpeg4标准的人有所帮助

2010-01-21

ISO-IEC-14496-12. MPEG-4 Part 12 ISO base media file format, Second Edition.pdf

mpeg4 标准第12部分,希望对正在学习mpeg4标准的人有所帮助

2010-01-21

ISO-IEC-14496-8. MPEG-4 Part 8. Carriage of MPEG4 contents over IP networks.pdf

mpeg4标准第8部分,希望对正在学习mpeg4的人有所帮助

2010-01-21

ti的sdio无线网卡驱动

用git从android网站上荡下来的,希望对学习ti的无线网卡驱动的人有所帮助

2010-01-21

ISO-IEC-14496-3. MPEG-4 Part 3 Audio.pdf

mpeg4音频标准,希望对正在学习mpeg4标准的人有所帮助

2010-01-21

ISO_IEC 14496_2.pdf

mpeg4视频部分标准,希望对正在学习mpeg4的人有所帮助

2010-01-21

ISO-IEC-14496-1. MPEG-4 Part 1 System.pdf

mpeg4标准资料,希望对正在学习mpeg4的人有所帮助

2010-01-21

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除