VHDL三输入与门、四选一复用器

1、三输入与门

--定义头文件
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
 
 
--定义实体,定义输入和输出
ENTITY A3 IS
	PORT(a,b,c:IN STD_LOGIC;
		  y:OUT STD_LOGIC);
END A3;
 
 
--定义结构体,定义实体内部的逻辑关系
ARCHITECTURE bhv OF A3 IS
 BEGIN
  PROCESS(a,b,c)
   BEGIN
	 IF a='0' AND b='0' AND c='0' THEN
	  y<='0';
	 ELSIF a='0' AND b='0' AND c='1' THEN
	  y<='0';
	 ELSIF a='0' AND b='1' AND c='0' THEN
	  y<='0';
	 ELSIF a='0' AND b='1' AND c='1' THEN
	  y<='0';
	 ELSIF a='1' AND b='0' AND c='0' THEN
	  y<='0';
	 ELSIF a='1' AND b='0' AND c='1' THEN
	  y<='0';
	 ELSIF a='1' AND b='1' AND c='0' THEN
	  y<='0';
	 ELSE
	  y<='1';
	 END IF;
	END PROCESS;
END bhv;

2、四选一复用器

--定义头文件
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
 
 
--定义实体,定义输入和输出
ENTITY MUX_41 IS
	PORT(a,b,c,d,s0,s1:IN STD_LOGIC;
		  y:OUT STD_LOGIC);
END MUX_41;
 
 
--定义结构体,定义实体内部的逻辑关系
ARCHITECTURE bhv OF MUX_41 IS
SIGNAL S : STD_LOGIC_VECTOR(1 DOWNTO 0);
 BEGIN
 s<=s1&s0;
  PROCESS(a,b,c,d)
   BEGIN
	 IF s1='0' AND s0='0' THEN
	  y<=a;
	 ELSIF s1='0' AND s0='1' THEN
	  y<=b;
	 ELSIF s1='1' AND s0='0' THEN
	  y<=c;
	 ELSE
	  y<=d;
	 END IF;
	END PROCESS;
END bhv;

参考文献:四选1数据选择器的VHDL程序设计_通信汪的美好生活的博客-CSDN博客

  • 2
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宁静_致远_

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值