UVM:6.3.4 start_item与 finish_item

177 篇文章 139 订阅

1.前面使用宏产生transaction,隐藏了细节。

2.不适用宏产生transaction 主要靠两个任务:start_item 和finish_item。使用前,必须要实例化transaction:


3.构建sequence 如下:


4.可以在transaction 例化后,finish_item 前随机化:


1)assert也可在start_item 之后,finish_item 之前。


5.uvm_do系列宏封装了如下动作:


6.指定优先级,要在start_item 和finish_item 都加入优先级参数:


如不指定,默认为 -1。

  • 2
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值