UVM:7.7.1 使用reg_predictor

177 篇文章 141 订阅

1.左图的方式依赖driver,当driver 将读取值返回后,寄存器模型会更新镜像值和镜像值和期望值。被称为寄存器模型的auto predict 功能。使用如下语句打开此功能:


2.右图另外一种形式。monitor 将总线收集到的transaction交给寄存器模型,后者更新相应寄存器的值。


3.用这种方法更新数据,需要理会一个reg_predictor,并例化:


1)要将reg_predictor和 bus_agt的 ap 连接,并设置reg_predictor 的adapter 和map。

2)只有设置map 后,才能将predictor 和寄存器模型关联在一起。


4.只有一个主设备时,左右两图完全相等。如果有多个,左图会漏掉某些transaction。

5.添加完后,其实存在两条寄存器模型的路径,右图:1.虚线 2.predictor。如果要彻底关闭虚线更新路径:


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值