UVM:10.3.2 只将virtual_sequence 设置为default_sequence

177 篇文章 141 订阅

1.config_db 最大的问题是不对set 的第二个参数进行检查。

2.为了美观对齐:


3.如下设置default_sequence:


1)i_agt 的default_sequence 没有启动,在i_agt.sqr 调用get_full_name 函数:


4.所以只将virtual sequence 设置为default_sequence。其它所有的sequence 都在其中启动,如6.6.1运行:


评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值