UVM中seq.start()和default_sequence执行顺序

1. 问题

假如用以下两种方式启动sequence,方法1用sequence的start()方法启动seq1,方法2用UVM的default_sequence机制启动seq2。那么seq1和seq2谁会先启动呢?

// Method1: using build-in start() method of sequence
task run_phase(uvm_phase phase);
    super.run_phase(phase);
    seq1.start(vsqr);
endtask : run_phase

// Method2: using default sequence
uvm_config_db#(uvm_sequence_base)::set(this,”agent.seqr.run_phase”,”default_sequence”, seq2);

答案:seq2会先启动。也就是说进入run_phase之后,会先执行seq2的body()内容,等退出seq2之后,再执行seq1的body()内容,串行执行的。

2. 原理

可以打开UVM-1.1d源码下src/base/uvm_task_phase.svh文件。为方便分析,我截图如下。在uvm_task_phase类里execute(xxx)函数的第148行和150行确定了seq1和seq2的执行顺序了。148行会执行default sequence (seq2),150行会执行run_phase()的内容,也就是这时候才会启动seq1.start(vsqr)。

2.1 seqr.start_phase_sequence(phase)解析

start_phase_sequence(xxx)是定义在uvm_sequencer_base类里的,具体看src/seq/uvm_sequencer_base.svh文件。示意截图如下:

 在start_phase_sequence(xxx)函数里会先使用uvm_config_db获取到default sequence,然后在最后会调用seq.start(this)启动它。这就完成了UVM default sequence的启动机制了。

2.2 exec_task(comp,phase)解析

exec_task(xxx)原型在uvm_phase.svh文件里,也就是在uvm_phase类里定义了。之后每个从uvm_phase扩展出来的uvm_common_phase类型和uvm_runtime_phase类型的phase都会override它,在里面添加各自的功能。各自举个例子吧。

对于uvm_common_phase类型里的uvm_run_phase类,它在exec_task(xxx)里会调用对应uvm_component(comp)的run_phase()方法。文件路径: src/base/uvm_common_phase.svh,截图如下:

 对于uvm_runtime_phase类型里的uvm_main_phase类,它在exec_task(xxx)里会调用也会调用对应uvm_component(comp)的run_phase()方法。文件路径: src/base/uvm_runtime_phase.svh,截图如下:

3. 结论

所以大家结合2.1和2.2小节的解析,就可以知道了,用default sequence启动的方式是会优先于对应phase里其它方式启动sequence,也就是先被调用start(sqr)启动起来。

 

  • 7
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

谷公子的藏经阁

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值