UVM:10.6.2 config_db 的替代者

177 篇文章 141 订阅

1.可以完全不使用config_db

2.config_db 设置的参数有两种,一种是结构性参数,如控制driver是否例化的is_active:


agent时指定:


3非结构性的参数:

build_phase:


也可在build_phase 之后的任意phase 使用绝对路径进行设置:


1)向sequence 中传递参数,可以像10.3.2节,在virtual sequence 中启动sequence,并赋值。


4.前提是virtual sequence已经启动,前面都是default_sequence 来启动的:


还可以在main_phase 中手工启动:


5.那么如何set呢?



6.在top_tb 中使用config_db 对interface 进行传递,可以使用绝对路径:



7.如果不使用绝对路径,可以通过静态变量来实现。新建一个类,将验证平台中所有可能的interface 放到此类中作为成员变量:


在top_tb 中为这个类的interface 赋值:


1)get 函数是if_object 的一个静态函数,通过它可以得到if_object 的一个实例,并对此实例中的interface 赋值。

在base_test 的connect_phase(build_phase后的其它任一phase)对所有的interface 进行赋值:


if_object就是一个中间媒介。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值