uvm的config_db

config_db,是用于在各个uvm对象里传递参数,一般参数类型,是interface、int等;据说参数必须是静态类型(这个可以在uvm config_db相关代码里确认)。后来知道,config_db还能设置sequence和sequencer的关系,即default_sequence的概念。

dut里的信号线状态,是无法通过config_db传递的。

dut里的信号线状态,如果想在driver里查询的话,一般是直接使用dut的层次路径即可。举例,比如rapidio,需要等待phy_port_ok信号有效,才会开始控制器的配置。
但是有一个弊端,就是dut的层次绝对路径,会影响driver的重用。所以,较好的办法是,把这些信号,设置为interface;但是较为繁琐,而且不适用内部信号线。经过上述思考,个人认为在top_tb层次里,利用assign定义一个新信号,连接需要的dut信号线;所以driver里,就不存在dut层次的绝对路径了。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值