自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(23)
  • 资源 (2)
  • 收藏
  • 关注

原创 UVA 10624 (13.11.27)

Problem BSuper NumberInput: Standard InputOutput: Standard OutputTime Limit: 3 Seconds Don't you think 162456723 very special? Look at the picturebelow if you are unable to find its specia

2013-11-27 16:46:40 692

原创 HDU 1874 (畅通工程 Dij算法 13.11.16)

畅通工程续Time Limit: 3000/1000 MS (Java/Others)    Memory Limit: 32768/32768 K (Java/Others)Total Submission(s): 21689    Accepted Submission(s): 7554Problem Description某省自从实行了很多年的畅通工程计划后,终于修建

2013-11-17 00:16:48 1016

原创 HDU 2544 (带权值的最短路 13.11.16)

Problem Description在每年的校赛里,所有进入决赛的同学都会获得一件很漂亮的t-shirt。但是每当我们的工作人员把上百件的衣服从商店运回到赛场的时候,却是非常累的!所以现在他们想要寻找最短的从商店到赛场的路线,你可以帮助他们吗? Input输入包括多组数据。每组数据第一行是两个整数N、M(N输入保证至少存在1条商店到赛场的路线。 O

2013-11-16 23:49:17 772

原创 HDU 1233 (最小生成树 13.11.15)

还是畅通工程Time Limit: 4000/2000 MS (Java/Others)    Memory Limit: 65536/32768 K (Java/Others)Total Submission(s): 21285    Accepted Submission(s): 9479Problem Description某省调查乡村交通状况,得到的统计表中

2013-11-15 23:56:18 1069

原创 CF round#212-2 B (13.11.14)

B. Petya and Staircasestime limit per test1 secondmemory limit per test256 megabytesinputstandard inputoutputstandard outputLittle boy Petya loves stairs very much. But h

2013-11-15 00:05:52 1122

原创 UVA 10940 (13.11.12)

Problem G: Throwing cards away II Given is an ordered deck ofn cards numbered 1 to nwith card 1 at the top and card n at the bottom.The following operation is performed as long as there are at lea

2013-11-12 21:21:12 689

原创 CF round#211-2 B (13.11.11)

B. Fencetime limit per test1 secondmemory limit per test256 megabytesinputstandard inputoutputstandard outputThere is a fence in front of Polycarpus's home. The fence con

2013-11-11 20:23:00 891

原创 CF round#211-2 A (13.11.11)

A. Sorobantime limit per test1 secondmemory limit per test256 megabytesinputstandard inputoutputstandard outputYou know that Japan is the country with almost the largest

2013-11-11 20:01:44 790

原创 UVA 11054 (13.11.10)

2006/2007 ACM International Collegiate Programming Contest University of Ulm Local ContestWine trading in GergoviaAs you may know from the comic "Asterix and the Chieftain's Shield",Gergovia

2013-11-10 21:41:36 744

原创 UVA 11218 (KTV唱歌 回溯法 13.11.09)

Problem KKTVOne song is extremely popular recently, so you and your friends decided to sing it in KTV. The song has 3 characters, so exactly 3 people should sing together each time (yes, there a

2013-11-09 19:54:53 1132

原创 UVA 10026 (13.11.08)

Shoemaker's Problem Shoemaker has N jobs (orders from customers) which he must make. Shoemaker can work on only one job in each day. For each ith job, it is known the integer Ti (1i<=1000)

2013-11-08 23:50:11 944

原创 UVA 10245 (13.11.08)

Problem JThe Closest Pair ProblemInput: standard inputOutput: standard outputTime Limit: 8 secondsMemory Limit: 32 MB Given a set of points in a twodimensional space, you will have t

2013-11-08 23:08:31 869

原创 UVA 10382 (13.11.08)

Problem EWatering GrassInput: standard inputOutput: standard outputTime Limit: 3 secondsn sprinklers areinstalled in a horizontal strip of grass l meters long andwmeters wide. Each spr

2013-11-08 22:38:35 896

原创 UVA 10714 (13.11.07)

Problem B: AntsAn army of ants walk on a horizontal pole of length l cm,each with a constant speed of 1 cm/s. When a walking ant reaches anend of the pole, it immediatelly falls off it. When two ant

2013-11-08 00:16:36 995

原创 UVA 10375 (13.11.07)

Problem D: Choose and divideThe binomial coefficient C(m,n) is defined as m!C(m,n) = -------- n!(m-n)!Given four natural numbers p, q, r, and s,compute the the result of d

2013-11-07 16:34:48 725

原创 UVA 10341 (13.11.07)

Problem FSolve ItInput: standard inputOutput: standardoutputTime Limit: 1 secondMemory Limit: 32 MBSolve the equation:        p*e-x+ q*sin(x) + r*cos(x) +s*tan(x)+t*x2 + u = 0       

2013-11-07 15:26:33 883

原创 UVA 571 (13.11.06)

Jugs In the movie ``Die Hard 3", Bruce Willis and Samuel L. Jackson were confrontedwith the followingpuzzle. They were given a 3-gallon jug and a 5-gallon jug and were asked tofill the 5-g

2013-11-06 19:46:59 761

原创 UVA 270 (13.11.06)

Lining Up ``How am I ever going to solve this problem?" said the pilot. Indeed, the pilot was not facing an easy task. She had to drop packages at specific points scattered in a danger

2013-11-06 15:12:54 660

原创 UVA 10905 (13.11.06)

4thIIUCInter-University Programming Contest, 2005AChildren’s GameInput: standard inputOutput: standard outputProblemsetter: Md. KamruzzamanThere a

2013-11-06 02:01:16 919

原创 HDU 2063 (二分图匹配问题 匈牙利算法 13.11.05)

过山车Time Limit: 1000/1000 MS (Java/Others)    Memory Limit: 32768/32768 K (Java/Others)Total Submission(s): 8064    Accepted Submission(s): 3533Problem DescriptionRPG girls今天和大家一起去游乐场玩,终于可以

2013-11-06 00:16:19 1159

原创 HDU 1399 (13.11.04)

题目点此进题意与做法略过,今晚事情多,懒得写详细了,代码不难,直接可以看懂AC代码:#includeint n;int max;int mark = 0;int judge1() { mark = 0; for(int i = 0; ; i++) { if(i * i * i == n) { ma

2013-11-04 22:09:11 774

原创 计算机网络笔记(第二章)

本章重点关注: 网络应用的原理和实现方面的知识。应用程序所需要的网络服务、客户机和服务器、进程和运输层接口详细讨论几种网络应用程序,包括web、电子邮件、DNS、对等文件分发和P2P因特网电话。1.应用层协议原理:应用程序体系结构:C/S模式 或 P2P体系结构进程通信:发起通信的进程被标识为客户机,在会话开始时等待联系的进程是服务器。套接字:套接字是软件接口(

2013-11-04 00:01:14 1244

原创 UVA 12554 (13.11.02)

Problem AA Special "[H]appy Birthday" Song!!!There are n people (excluding myself) in my 30th birthday party. They sing the traditional "happy birthday" song:Happy birthday to you! Happy birth

2013-11-02 10:33:37 711

学习SSH框架的视频种子

韩顺平的SSH学习视频,有单独讲解,也有实战开发的练习

2014-12-17

简单员工管理系统(容易修改)

简单的员工管理系统,代码容易修改,下载后需要重新配自己的路径

2014-12-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除