自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(32)
  • 资源 (2)
  • 问答 (3)
  • 收藏
  • 关注

原创 纸病缺陷检测

21.10.9《opencv3计算机视觉 python语言实现二》HOG是计算梯度。 用“图像金字塔”和“滑动窗口”解决。图像金字塔: 书是跳着看的没看懂,看了篇文章。 CV学习笔记(十二):图像金字塔 - 知乎在我们进行图像处理的时候,会经常对源图像的尺寸进行放大或者缩小的变换,进而转换为我们指定尺寸的目标图像。在对图像进行放大和缩小的变换的这个过程,我们称为尺度调整。而图像金字塔则是图像多尺度调整表达的一种重要...

2021-10-09 22:16:42 306 2

原创 将文件保存到fpga

ip 核生成 rom 及questasim仿真时需要注意的问题 - aslmer - 博客园基于 FPGA 的图像边缘检测 - aslmer - 博客园FPGA实现RAM--LPM_RAM - BitArt - 博客园modelsim仿真带有mif文件的rom_tianchang2you的专栏-CSDN博客Modelsim仿真包含ROM文件的方法 - 百度文库quartus和modelsim中使用mif和hex文件 - logic3 - 博客园​​​​​​Modelsim仿真包含RO

2022-02-25 16:41:45 190 1

原创 modelsim独立仿真过程

1、新建工程(IP库略) D:/modeltech64_10.5/modelsim.ini在这之前已经编译了IP库:我把D:\intelFPGA_pro\18.0\quartus\eda\sim_lib目录下所有的.v/.vhd文件全部编译在一个库里了。modelsim.ini文件就只要加一句了。如何用ModelsimSE仿真IP核-以PLL为例_七水的博客-CSDN博客_modelsim pll2、添加和编译设计文件在project窗口,添加文件,在编译包含shi...

2022-02-21 14:14:12 778

转载 形态学填充

图像形态学提取边界和区域填充 - 啊哈彭 - 博客园

2022-01-06 17:05:47 179

原创 一些知识点

异步复位,同步释放:【FPGA】异步复位,同步释放的理解

2021-12-02 09:54:49 873

原创 图像色彩编码

YUV422 YUV420 Planar \ Semi-Planar \ Interleaved - 苍月代表我 - 博客园JPEG原理详解 (转载) - Arvin_JIN - 博客园正如几何上用坐标空间来描述坐标集合, 色彩空间用数学方式来描述颜色集合。常见的3 个基本色彩模型是RGB , CMYK和YUV。YCbCrYCbCr 则是在世界数字组织视频标准研制过程中作为ITU - R BT1601 建议的一部分,其实是YUV经过缩放和偏移的翻版。其中Y与YUV 中的Y含义一致, Cb..

2021-11-24 19:04:24 1837

原创 ov5640寄存器

OV5640摄像头的时钟配置 - 代码复刻版选择的JPEG模式2,每一次,每帧产生的数据量不一样。第一次抓包,一帧12k,第二次抓包,一帧48k。抓包疑惑:1000包,12包一帧,用时2.7s。5000包,54包一帧,用时3.07s。之前疑惑传输速率怎么差这么多。看了jpeg的数据格式发现,一帧的数据量是不一样的,所以传输速率确实不一样,但帧率是一样的。...

2021-11-19 16:21:48 2597

原创 Quartus Prime Standard18.0

之前用quartus II12,12.1不支持ModelSim SE-64 10.5,一气之下,直接上新版。官网上下载速度很快。Download Center for FPGAs版本不同,支持的硬件不同。。。pro版既然不支持Cyclone IV。。。https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/po/ss-quartus-comparison.pdf破解Quartus prime 1

2021-11-10 09:33:16 831

原创 DDR2实验

使用的 Micron DDR2 的颗粒 MT47H64M16,此 DDR 芯片的容量为1Gb。DDR2和 FPGA 之间的连接的数据宽度都为 16bit。配置引脚有要求,DDR2要求1.8v,需要配置相应引脚。在使用 ALTMEMPHY IP 之前需要确认是否安装了 DDR 的 License。1、IP核里没有MT47H64M16选项,选择MT47H32M15-5E,再把Row address width的参数改为13。保存后下一次调用IP可以直接用。2、设置频率时设置166.7MHz。原因:T

2021-11-08 16:24:04 694

转载 SDRAM

简介、优缺点、历史1、译为“同步动态随机存取内存”,区别于异步DRAM。2、同步(Synchronous):与通常的异步 DRAM 不同, SDRAM 存在一个同步接口,其工作时钟的时钟频率与对应控制器(CPU/FPGA)的时钟频率相同,并且 SDRAM 内部的命令发送与数据传输均以此时钟为基准,实现指令或数据的同步操作;动态(Dynamic): SDRAM 需要不断的刷新来保证存储阵列内数据不丢失;随机(Random):数据在 SDRAM 中并不是按照线性依次存...

2021-11-07 12:59:44 4239

原创 乒乓操作-低速模块——高速模块

低速模块处理高速数据流:实现中的总结:相机时序低速输入->高速输出:不是整数倍读写速率:​

2021-11-04 10:42:07 1212

原创 Verilog语法

1、阻塞赋值/非阻塞 阻塞赋值,操作符为“=”,“阻塞”是指在进程语句(initial和always)中,当前的赋值语句会阻断其后语句的正常执行,也就是说后面的语句必须等到当前的赋值语句执行完毕才能执行。而且阻塞赋值可以看成是一步完成的,即:计算等号右边的值并同时赋给左边变量。 非阻塞赋值,操作符为“<=”,“非阻塞”是指在进程语句(initial和always)中,当前的赋值语句不会阻断其后语句的正常执行。 掌握可综合风格的Verilog模块编程的六个原则,可以为...

2021-11-03 20:30:57 364

原创 遇到的错误

1、报错:The design unit was not found,没有设置为顶层。

2021-11-03 16:23:14 515

原创 Altera的IP核

1、PLL 的四种输出模式:In normal mode(普通模式):仅在进入管脚时和到达芯片内部第一级寄存器时的相位相同,但是输出的时钟相位无法保证相同(此模式下最好不要用作于对外输出);In source-synchronous compensation Mode(源同步补偿模式):使得进入管脚时的数据和 上升沿的相位关系与到达芯片内部第一级寄存器时数据和上升沿的相位关系保持不变(通过 调整内部的布局布线延时做到...

2021-11-03 15:06:33 1094

原创 VHDL的语法

1、数据l(对客观实体的抽象和概括)有:Constant(常量)在程序中不可以被赋值Variable(变量)在程序中可以被赋值(用": ="),赋值后立即变化为新值。Signal(信号)在程序中可以被赋值(用"<=") ,但不立即更新,当进程挂起后,才开始更新。WIDTH : integer := 1; //整数型的变量2、std_logic是长度为1的逻辑 与bit 相似,...

2021-10-27 15:17:55 4301

原创 FPGA开发流程

always块: 1、always@(敏感列表) 2、被赋值的必须是reg型。仿真: 1、$stop:停止仿真

2021-10-25 09:46:38 77

原创 遇到的问题

1、python把数组打印输出txt文件: TypeError: Mismatch between array dtype (‘object’) and format specifier (‘%.18e’) nump.savetxt的参数:numpy.savetxt参数

2021-10-20 14:37:50 246

原创 hog+svm的过程

21.10.181、初始化hog描述子:hog = cv2.HOGDescriptor(),默认参数为(128,128), (16,16), (8,8), (8,8), 92、计算hog特征:descriptors = hog.compute(img),

2021-10-19 12:29:24 112

原创 人体检测用于空洞和裂痕检测

人体检测:opencv-svm用于人体检测Python代码_大西纸的博客-CSDN博客空洞、裂痕数据集:飞桨AI Studio - 人工智能学习与实训社区随便合并了两个图片:根本框不准,框很大...

2021-10-15 12:17:04 123

原创 根据边缘截取图片并缩放缩放

import cv2# Press the green button in the gutter to run the script.if __name__ == '__main__': image = cv2.imread('test2.png') # a black objects on white image is better #image = cv2.Canny(image, 128, 256) #用于褶皱图片,全黑,识别不出边缘 image = cv.

2021-10-15 09:21:25 300

原创 机器学习笔记

摘自:PDF版学习笔记 - JerryLead - 博客园学习过程线性回归:线性回归假设特征和结果满足线性关系。当每个特征变量先映射到一个函数,在进行线性计算,就可以表达特征和结果之间的非线性关系。 现有估计函数:...

2021-10-13 10:17:00 57

转载 opencv-svm用于人体检测Python代码

代码转自:HOG+SVM行人检测_hongbin_xu的博客-CSDN博客_hog+svm行人检测# This is a sample Python script.# Press Shift+F10 to execute it or replace it with your code.# Press Double Shift to search everywhere for classes, files, tool windows, actions, and settings.# *_*co

2021-10-12 15:57:29 505

原创 基于卷积神经网络的紙张表面缺陷检测与识别研究_王正存

卷积神经网络结构卷积层特征提取,卷积核中参数相当于权值参数。池化层对卷积层运算后的图像采取池化操作,其实是对经过卷积运算的数据做降采样处理。池化操作通常有四种形式,分别是最大值池化、全局最大值池化、均值池化和全局均值池化。全连接层为了对提取到的用以类别区分的局部信息进行整合,减少特征信息的丢失,通常在神经网络中会有一层或多层全连接层。神经元包括权重矩阵+偏置值和激活函数。网络优化方法梯度下降梯度下降算法原理讲解——机器学习_Arrow and Bullet-CSDN博

2021-09-24 12:10:30 247

原创 CNN基本概念

CNN笔记:通俗理解卷积神经网络_结构之法 算法之道-CSDN博客_卷积神经网络梯度下降?饱和?梯度传递?0中心化?

2021-09-21 09:54:06 97

原创 串口RS232

UART(Universal Asynchronous Receiver/Transmitter),即通用异步收发控制器。是一种通用的数据通信协议,也是异步串行通信口(串口)的总称。三大低速总线(UART、SPI、IIC)之一。与UART不同,SPI,IIC是同步通信,收发双方的时钟都由主机提供。发送时将并行数据转换成串行数据来传输,在接收时将接收到的串行数据转换成并行数据。RS232的帧结构...

2021-09-17 15:42:38 237

转载 基于USB 3.0的高速CMOS图像传感器数据采集系统

丁宁,常玉春,赵健博,王超,杨小天.基于USB 3.0的高速CMOS图像传感器数据采集系统[J].吉林大学学报(工学版),2018,48(04):1298-1304.

2021-09-15 10:43:56 255

原创 元胞数组的索引

close all; clear all; clc; %关闭所有图形窗口,清除工作空间所有变量,清空命令行stu=cell(2); %cell函数建立2×2细胞数组stu{1,1}={‘LiMing’,‘WangHong’};stu{1,2}={‘20120101’,‘20120102’};stu{2,1}={‘f’,‘m’};stu{2,2}={20,19};stu;cellplot(stu) %显示细胞数组结构图得到结果...

2021-01-27 16:48:55 379

原创 野火FPGA笔记

状态机最后的输出不仅和当前状态有关还和输入有关则称为 Mealy 状态机。最后的输出只和当前状态有关而与输入无关则称为 Moore 型状态机。

2020-11-26 12:59:43 1430

原创 Verilog 语法注意

Verilog 语法注意在 always 或 initial 语句中被赋值的变量都一定是 reg 型变量,凡是在 assign 语句中被赋值的变量,一定是 wire 型变量。module的定义()中语句用“,”结束,最后一句不用。“<=”(非阻塞赋值)和“=”(阻塞赋值)。...

2020-11-23 22:49:00 294

原创 仿真文件步骤

野火FPGA的多路选择器代码timescale 1ns/1ns //时间尺度、精度单位定义,决定“#(不可被综合,但在可 //综合代码中也可以写,只是会在仿真时表达效果,而综合 //时会自动被综合器优化掉) ”后面的数字表示的时间尺度和 //精度,具体表达含义为:“时间尺度/时间精度”。为了以后 //编写方便我们将该句放在所有“.v”文件的开头,后面的代 //码示例将不再显示该句module tb_mux2_1(); //testbench 的格式和待

2020-11-23 22:48:16 1317

原创 使用keil5 C51

使用keil5 C51AT89C51在micrship中,旧版在Atmel中。51单片机上电后每个端口默认高电平。此电脑—>管理—>设备管理器 中可以查看电脑是COM几,可更改。硬件TX-1B型开发板原理图1、排阻VCC是5V,发光二极管的压降是1.7V,发光二极管工作的电流是3~10mA。310-3< 5/R < 310-3,算出大概选个1k的就OK。...

2020-11-22 21:24:02 975

原创 结束输入数组

#include <stdio.h>int main(){ int i,j; int a[10]; while(i<10) { scanf("%d",&a[i]); i++; if(getchar()=='\n') break; } for(j=0;j<i;j++) printf("%d",a[j]); return 0;...

2020-11-22 21:23:42 1676

读txt文件,模拟vga时序输出。

读txt文件,模拟vga时序输出。

2022-02-24

纸病检测文献,工业相机厂商白皮书.rar

纸病检测的论文,白皮书是关于如何选型,技术名词的解释,一些案例介绍

2021-09-15

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除