pre/post gate sim 仿真笔记

在芯片研发阶段至少存在三种仿真,只有在这三种仿真都通过后才可能进入到芯片的tape out阶段,这三种仿真分别是rtl 功能级仿真、综合后网表仿真(pre gate sim)、PR后网表仿真(post gate sim)。下面简单记录一下两种gate sim仿真,后期有补充再做添加,发现错误再做修改。

不论是pre还是post的gate sim都是门级网表的仿真,进行门级仿真主要有两个目的:1 检查门级网表的功能是否符合设计意图;2 将门级网表的仿真波形用于功耗分析。

这里建议进行门级仿真的case由代码设计者或者算法设计人员提供场景,原因是他们十分清楚什么样的场景能充分得到可与目标功耗具有比较意义的功耗数据;同时也建议做门级仿真的人员最好是验证人员,因为门级仿真的环境是在功能仿真的环境上进行修改得到的,验证的人员更熟悉验证环境,同时需要门级功耗数据时已经进行到研发时间紧迫的阶段,这样能减少门级仿真所花费的时间,提升功耗决策效率,而且验证人员也更熟悉每支case如何确认波形的正确性,避免功耗的输入波形有误,造成决策失误,从而影响项目进程。

1 pre gate sim

前门级仿真使用综合后的网表进行的,一般综合时会在ss corner下完成,而用于功耗分析的前门级仿真会在tt corner下进行,因此在用vcs仿真时需要设置+nospecify+notimingcheck,即使加了反标sdf的语句:-sdf min:tb_top.u_asic_top:$(pre_sdf),也是需要设置+nospecify+notimingcheck。

pre gate sim是没有路径延时的,但存在cell内部的延时。如果pre gate sim仿真是使用的tt corner,而网表使用的是ss corner,则在pre gate sim仿真时会出现时序违例,仿真错误的情况,因此需要+nospecify和+notimingcheck。

为什么在做功耗分析时使用tt corner请看如下网址:一问 | 为什么power 只需要在typical corner 做sign off? (qq.com)

关于tt corner、ss corner可以参看如下链接:

Corner芯片TT,FF,SS_tt corner_别想太多的博客-CSDN博客

在使用VCS仿真时使用的参数设置可参考如下网址:

仿真条件+nospecify +notimingcheck +delay_mode_zero的区别_no specify_风起云涌66的博客-CSDN博客

2 post gate sim

post gate sim是对在PR之后的网表和sdf进行功耗分析,在PR之后已经添加了完整的路径延时且不论是在SS还是TT corner下都应该能满足时序要求实现芯片功能,因此在进行gate sim仿真时不能使用nospecify和notimingcheck,需要把所有的时序都反标上去,这样才能尽最大限度的模拟真实芯片工作时的情况(如不同信号的延时导致毛刺的产生,导致功耗增大)。

在进行post gate sim时会出现某个或者某些寄存的初始值为x,由于X的传播导致post gate sim无法正常仿真下去;同样某些memory也存在未初始化最开始的输出为X,由于X传播导致仿真不能继续。因此需要在进行仿真时需要将它们进行初始化,初始化这里用config_file.txt文件。

config_file.txt文件里的内容格式如下所示

defaultvalue x

tree tb_top.asic_top.u_module_a 0 random

这里“0”表示hierarchy中的层级,和dumpfsdb里的层级一个用法,“random”表示为将指定层级的寄存器或者存储器初始化为某个随机的确定值。

如果芯片中存在跨时钟域的同步处理,在post gate sim中可能会出现同步器件时序违例的情况,此时需要对同步器件进行针对性的notimingcheck,此处用config_list.f文件进行处理。

config_list.f文件里的内容如下所示

instance {tb_top.u_asic_top.u_module_b.u_sync1_reg} {noTiming};

以上两个文件需要在vcs仿真选项里手动加上,如:+vcs+initreg+config_list.f+../config_filt.txt \

3 gatesim debug技巧小记

(1)用gatesim的波形和同一个case的功能仿真波形对比分析;

(2)将门级网表和rtl的Verdi都打开,追信号用rtl代码对比分析溯源;

(3)门级网表的波形需要核对,比较的除了相应的标志信号,还需要比较数据,如最终输出的数据、输入到ram的数据作为每次门级网表波形正确的判断标准;

 (4)在追数据X态时,使用Verdi中显示数据状态的功能,可以使用快捷键——键盘的x;

(5)在追数据X态时,需要从整体架构设计出发考虑,结合架构进行追溯X态信号的出处;

(6)需要明白复位信号和时钟信号的最开始的先后顺序,若顺序不当则会导致错误时序;同时也需要先确认模块的时钟信号和复位信号正确;

补充1

在进行pre gatesim时,有时需要将fsdb波形文件转换成saif文件,用于进行功耗优化。用于转换saif的fsdb生成有一定的要求,格式可参考如下:

$fsdbDumpfile("xx_xx_xx.fsdb");

$fsdbDumpvars(100,"tb_top.u_top_module","+all");

注:tb即testbench的意思,在这个fsdb中不需要tb里信号的内容,因此要将层级直接引用到芯片逻辑的顶层。

将生成好的fsdb文件用fsdb2saif的命令直接在terminal中转换,格式如下:

fsdb2saif xx_xx_xx.fsdb -o xx_xx_xx.saif

如果波形文件过大,只需截取某段时间的波形,命令为:fsdb2saif xx_xx_xx.fsdb -bt 100ns -et 300ns -o xx_xx_xx.saif

其它使用方法可参考如下网址

verdi 文件格式转换及差异_fsdb2saif-CSDN博客

  • 0
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值