Vivado安装教程(非常详细),从零基础入门到精通,看完这一篇就够了

本文作者分享了如何下载并安装XilinxVivado2022.2版本,包括网页下载方式、安装步骤和性能优化建议。重点介绍了如何选择安装选项以及资源提供。
摘要由CSDN通过智能技术生成

现在Vivado已经更新到2022.2了,据说运行程序可以比之前快好多,而且也支持一些新出的元器件,本着好奇的原则,下载下来看看好不好用。之后会更新一些关于Vivado使用或者Verilog代码编写上的分享与总结。希望大家多多支持。新人码字不易,哈哈。
进入正题,Xilinx官网下载软件地址还是很好找的,点击_https://china.xilinx.com/support/download.html_
在这里插入图片描述
在这里插入图片描述
现在Xilinx为了避免用户磁盘空间不够,推出网页下载的模式,下载一个200多兆的下载器就可以在联网模式下下载了,也算是一大进步吧。
具体安装步骤如下:
(1)点击Xilinx_Unified_2022.2_1014_8888_Win64.exe文件,进入Vivado2022.2安装界面,点击Next:
在这里插入图片描述
(2)输入Xilinx账号和密码(没有的话可以自己申请一个,很简单):
然后点击Next:
在这里插入图片描述
(3)选择Vivado选项,点击Next:
在这里插入图片描述
(4)选择Vivado ML Entexprise企业版,点击Next:
在这里插入图片描述
(5)由于本人磁盘空间羞涩,所以取消勾选Versal ACAP选取框,空间够大的可以直接点击Next;
在这里插入图片描述
(6)勾选所有 I Agree,点击Next:
在这里插入图片描述
(7)选择合适的磁盘位置,点击Next,后续和正常安装一致:
在这里插入图片描述
如果想要安装过程快一点的话,可以在安装时点击performance:

在这里插入图片描述
选择Disk Usage Settings,取消勾选Enable disk usage optimization选项,该选项是在安装时优化软件的大小,但是会花费很长时间,比较注重安装时间的同学们可以取消试试。
在这里插入图片描述
Vivado2022.2的网页安装包和license文件我上传到资源了,有需要的同学们可以下载下来,安装一下玩一玩。这次的随手记录到这就完了,觉得还不错的可以关注一下我,顺手点个赞哟,也可以留言,我看到的都会回复的,希望大佬们轻喷,哈哈哈。

    </div></div></div>
</article>
Vivado是一款由Xilinx开发的集成电路设计工具,用于FPGA和SoC设计。下面是Vivado安装教程详细步骤: 1. 下载Vivado:首先,你需要访问Xilinx官方网站并下载适用于你的操作系统的Vivado安装程序。你可以在"Downloads"页面找到不同版本的Vivado。 2. 运行安装程序:双击下载的安装程序,然后按照提示安装程序的运行。注意,这可能需要管理员权限。 3. 选择版本和组件:在安装程序中,你将被要求选择安装的版本和组件。你可以选择“Vivado Design Suite”以及其他你所需的附加组件。确保选择与你计划进行的项目相匹配的版本和组件。 4. 安装目录选择:选择一个适当的目录来安装Vivado。通常,建议将其安装在默认目录中,但你也可以选择其他目录。 5. 安装选项:在安装选项中,你可以选择是否创建快捷方式、添加环境变量等。根据你的需要进行选择。 6. 接受许可协议:阅读并接受Xilinx的许可协议。 7. 开始安装:点击"Install"按钮开始安装过程。 8. 安装时间:等待安装程序成所需的所有任务。这可能需要一些时间,具体取决于你选择的版本和组件。 9. 安装安装成后,你将收到一个安装成功的消息。点击"Finish"按钮退出安装程序。 10. 启动Vivado安装成后,你可以在开始菜单或桌面上找到Vivado的快捷方式。双击该快捷方式以启动Vivado。 以上是Vivado安装教程详细步骤。希望对你有所帮助!如有其他问题,请随时提问。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值