FPGA偶数分频

module even_div
(
	clk,
	rst_n,
	clkout
);

input  wire clk;
input  wire rst_n;
output reg clkout;

parameter N=4;
parameter WIDITH=3;

reg [WIDITH-1:0]cnt;

always@(posedge clk or negedge rst_n)
begin
	if(!rst_n)
		begin
			cnt<=0;
			clkout<=0;
		end
	else
		begin
			if(cnt==N/2-1)
				begin
					clkout<=~clkout;
					cnt<=0;
				end
			else
				begin
					cnt<=cnt+1'b1;
				end	
		end
end

endmodule

testbench:

`timescale 1ns/1ns
module even_div_tb;

reg clk;
reg rst_n;

wire clkout;

initial 
	begin
		clk=0;
		rst_n=0;
		#1000 rst_n=1;
	end

always #10 clk=~clk;




even_div even_div
(
	.rst_n(rst_n),
	.clk(clk),
	.clkout(clkout)
);
endmodule

 

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值