自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(39)
  • 资源 (1)
  • 收藏
  • 关注

转载 verilog参数例化

verilog参数例化1、参数定义parametermodule ram_1r1w#(parameter width=128,parameter deepth=32)(input wclk,input [width-1:0] wdin,.....................................input ...

2019-03-23 22:37:56 14541 1

转载 FPGA工程师面试试题集锦

FPGA工程师面试试题集锦1、同步电路和异步电路的区别是什么?(仕兰微电子)2、什么是同步逻辑和异步逻辑?(汉王笔试)同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门。同时...

2019-03-22 10:33:29 6772 1

原创 FPGA实现任意分频

module odd_div( clk, rst_n, clkout);input wire clk;input wire rst_n;output reg clkout;parameter HIGH_WIDITH=3;parameter LOW_WIDITH=2;parameter N=3;reg [N-1:0]cnt;reg state;always...

2019-03-15 14:58:37 3871

原创 C语言中使用指针修改const的值

#include <stdio.h>int main(){ const int a=10; //若const a=10,编译器也会默认为a是int类型的 int *P=(int*)&a; //注意需要强制&a前需要加int*类型强制类型转换 *P=12; printf("a=%d\n",a); return 0;}结果:a=12...

2019-03-15 00:14:51 2752 6

原创 FPGA偶数分频

module even_div( clk, rst_n, clkout);input wire clk;input wire rst_n;output reg clkout;parameter N=4;parameter WIDITH=3;reg [WIDITH-1:0]cnt;always@(posedge clk or negedge rst_n)beg...

2019-03-14 16:49:57 643

转载 modelsim**# (vish-4014) No objects found matching '/ip_fifo_tb/u1_ip_fifo/*'.

添加波形时出现这个错误,解决方法:点击simulate->star simulation->把Enable Optimization前面的勾取消->选择仿真文件

2019-03-14 16:47:22 1304

转载 FPGA LVDS

最近项目需要用到差分信号传输,于是看了一下FPGA上差分信号的使用。Xilinx FPGA中,主要通过原语实现差分信号的收发:OBUFDS(差分输出BUF),IBUFDS(差分输入BUF)。注意在分配引脚时,只需要分配SIGNAL_P的引脚,SIGNAL_N会自动连接到相应差分对引脚上;若没有使用差分信号原语,则在引脚电平上没有LVDS的选项(IO Planning PlanAhead)。...

2019-03-13 14:38:51 12267 3

转载 Verilog语法中parameter与localparam的区别

注:parameter可用作在顶层模块中例化底层模块时传递参数的接口,localparam的作用域仅仅限于当前module,不能作为参数传递的接口。`timescale 1ns/100psmodule mem ( clka, wea, addra, dina, clkb, addrb, doutb); parameter DATA_WIDT...

2019-03-13 13:59:45 1039

转载 行消隐(HBlank),场消隐(VBlank)

在将光信号转换为电信号的扫描过程中,扫描总是从图像的左上角开始,水平向前行进,同时扫描点也以较慢的速率向下移动。当扫描点到达图像右侧边缘时,扫描点快速返回左侧,重新开始在第1行的起点下面进行第2行扫描,行与行之间的返回过程称为水平消隐。一幅完整的图像扫描信号,由水平消隐间隔分开的行信号序列构成,称为一帧。扫描点扫描完一帧后,要从图像的右下角返回到图像的左上角,开始新一帧的扫描,这一时间间隔,叫做垂...

2019-03-13 10:46:30 4474

转载 MII、RMII、GMII接口的详细介绍

MII (Media Independent Interface(介质无关接口)或称为媒体独立接口,它是IEEE-802.3定义的以太网行业标准。它包括一个数据接口和一个MAC和PHY之间的管理接口。 数据接口包括分别用于发送器和接收器的两条独立信道,每条信道都有自己的数据、时钟和控制信号。MII数据接口总共需要16个信号。 管理接口是个双信号接口:一个是时钟信号,另一个是数据...

2019-03-12 11:25:26 7458

转载 TCP和UDP端口号使用

https://en.wikipedia.org/wiki/List_of_TCP_and_UDP_port_numbersThis is an incomplete list of notable ports. See the Service Name and Transport Protocol Port Number Registry of IANA for the complet...

2019-03-12 11:18:54 36670

转载 FPGA千兆网UDP协议实现

接着上一篇百兆网接口的设计与使用,我们接着来进行FPGA百兆网UDP(User Datagram Protocol)协议的设计。1)UDP简介 在此,参考博主夜雨翛然的博文“https://www.cnblogs.com/HPAHPA/p/7737531.html”关于UDP协议的简介:“UDP传输与IP传输非常类似。你可以将UDP协议看作IP协议暴露在传输层的一个接口。UDP协议同样...

2019-03-12 11:06:14 4789 5

转载 FPGA实现UDP

注:很多基础内容并本文不做讲解,重点讲解fpga实现时需要注意的地方,没有用IP。[就这样]一。 实现的意义分析等。  用fpga实现udp可以用来传消息、图片等,udp本身作为一种不可靠的传输,一般也就传图像什么的啦,暂时只讲发送方向,也就是fpga向pc(或者其他。。)传输,学会了发送方向,接收就可以自己搞定啦。二。效果展示(1) 消息传输    通过wireshark...

2019-03-12 10:37:41 8744 3

原创 ./ 当前目录 ../ 父级目录 / 根目录

./当前目录../ 父级目录/ 根目录

2019-03-12 09:54:47 3036

原创 FPGA从零开始到大神怎么学

原创: 刘勇材玩儿转FPGA 微信公总号1选好一本比较全,排版比较合理的verilog书 verilog作为现在最流行的FPGA开发语言,当然是入门基础,在这里小编给大家推荐一本多年来珍藏的两本书,一本是verilog2001的国际标准,还有一本是某高手写的非常全面的语法讲解书,下面是百度网盘链接,全免费的:链接:https://pan.baidu.com/s/1tst...

2019-03-11 17:54:30 671

原创 STRUCT_OFFSET( s, m )宏

#define STRUCT_OFFSET( s, m ) ( (UINT8)( & ((s *)0) -> m ) ) /* 定义获取结构成员相对偏移地址的宏 */如上宏的意义,获取结构体S中元素M,相对于首元素的偏移量。#include <stdio.h>#define STRUCT_OFFSET(s,m) ( (int)(&...

2019-03-11 15:52:04 760

转载 三目运算符在C和C++编译器中的不同

#include <iostream>using namespace std; //在C语言中 表达式的结果 放在什么地方 寄存器//1 // 在C语言中, 表达式的返回值 是变量的值// 在C++中, 表达式返回的是变量的本身 //2 如何做到的//让表达式返回一个内存空间 ..内存首地址 指针//在C语言中 如何 实现 c++的效果 //3 本质 ...

2019-03-11 10:31:21 182

转载 指针常量和常量指针

指针常量指针常量:顾名思义它就是一个常量,但是是指针修饰的。格式为:int * const p //指针常量在这个例子下定义以下代码:int a,b;int * const p=&a //指针常量//那么分为一下两种操作*p=9;//操作成功p=&b;//操作错误因为声明了指针常量,说明指针变量不允许修改。如同次指针指向一个地址该地址不能被修改,但是...

2019-03-09 00:11:57 107

转载 STM32中的断言

#ifdef USE_FULL_ASSERT/** * @brief The assert_param macro is used for function's parameters check. * @param expr: If expr is false, it calls assert_failed function which reports * ...

2019-03-08 18:31:49 386

原创 C语言 枚举enum、宏定义#define、结构体struct的一种联合应用

#include <stdio.h>typedef enum ENUMDATE{ first=0x01, second=0x02, third=0x03}enumdate;//宏跨行,需要用续行符#define DATEDE \ { \ first, \ second, \ third \} ...

2019-03-08 17:48:13 566

原创 C++中枚举类型enum,结构体struct实例

#include <iostream>using namespace std;typedef enum T{ A, //默认第一个参数为0 B=8,//第一个参数之间与第二个参数之间用逗号隔开 C //最后一个不加逗号,指定的数下一个参数加1,即本列中C的值为9}GG; //加分号struct H{ int year; char name[10];...

2019-03-08 17:06:33 1170

原创 C++系统学习网站

http://www.runoob.com/cplusplus/cpp-tutorial.html

2019-03-08 15:50:36 248

原创 C/C++中#define宏定义的作用域

#include <iostream>using namespace std;void t(void){ #define a 10}int main(){ cout << a<<endl; return 0;}如上代码编译,打印输出结果为10。由此可见#define的作用域是文件作用域,在定义之后的位置使用都有效。改变其...

2019-03-08 15:47:53 2770

转载 C++中define与const的区别

C++中不但可以用define定义常量还可以用const定义常量,它们的区别如下:用#define MAX 255定义的常量是没有类型的,所给出的是一个立即数,编译器只是把所定义的常量值与所定义的常量的名字联系起来,define所定义的宏变量在预处理的时候进行替换,在程序中使用到该常量的地方都要进行拷贝替换;用const float MAX = 255; 定义的常量有类型名字,存放在内存的...

2019-03-08 09:23:16 978

转载 如何确定直流电机驱动的 PWM 频率

如何确定直流电机驱动的 PWM 频率——圆梦小车改进中的个人感悟在“圆梦小车强身健体啦”一文中,提到了新的电机在原来的驱动程序下不能正常工作之事,本文将详述其中原委。一、问题的来由此问题在我第一次涉及直流电机驱动时就遇到了,可翻遍所有资料,都没有给我一个完美的答复,因为我一直觉得应该根据电机的特性来选择 PWM 的频率。直流小电机的特性差别很大,一般遥控玩具的电机通常为 1A 以下,这是为了降...

2019-03-07 18:10:00 26946 1

转载 【蓝桥杯单片机07】彻底理解51单片机的中断系统

【蓝桥杯单片机07】彻底理解51单片机的中断系统广东职业技术学院 欧浩源 在接下来的教程中,将会介绍定时器、串口通信等外设的基本使用,而这些外设的使用都要涉及中断,而且中断是单片机或嵌入式开发中一个相当重要的概念。不能掌握中断系统,就无法灵活应用这些外设。1、什么是中断? 你正在追电视剧《神雕侠侣》,正看得入迷的时候,电话响了,你暂停电视剧,去接电话,在接电话的过程中,门铃又...

2019-03-07 17:53:40 510

转载 C++对C语言的扩展(二)

(一)C++中的const1)C语言与C++中的const 比较:1° C语言中const变量是只读变量,有自己的存储空间2° C++中的const常量可能分配存储空间,也可能不分配存储空间 C语言中的const#includeint main(){ // C语言中 const修饰的变量是一个 常变量,本质还是变量,有自己的地址空间 const int...

2019-03-06 10:29:08 156

转载 c++对C语言的扩展(一)

(一) C++对C的加强:1)命名空间:为什么要引入命名空间这个概念:一个中大型软件往往由多名程序员共同开发,会使用大量的变量和函数,不可避免地会出现变量或函数的命名冲突。当所有人的代码都测试通过,没有问题时,将它们结合到一起就有可能会出现命名冲突。例如小李和小韩都参与了一个文件管理系统的开发,它们都定义了一个全局变量 fp,用来指明当前打开的文件,将他们的代码整合在一起编译时,很明显编...

2019-03-06 10:06:11 251

原创 C++之namespace命名空间

#include "iostream"//包含C++的头文件using namespace std;//使用命名空间std标准的命名空间(这个命名空间中定义了很多标准定义)namespace namespaceA{ int a=10;}//定义命名空间后没有分号namespace namespaceB{ int a=20; namespace namespaceC { ...

2019-03-06 00:07:39 217

原创 C++之分别用面向对象和面向过程的思想求解圆的面积

#include "iostream"//包含C++的头文件using namespace std;//使用命名空间std标准的命名空间(这个命名空间中定义了很多标准定义)class mycrircle{ public : double m_r; double m_s; void set_r(double r) { m_r=r; ...

2019-03-05 01:28:57 397

原创 C++之hello world

#include "iostream"//包含C++的头文件using namespace std;//使用命名空间std标准的命名空间(这个命名空间中定义了很多标准定义)void main(){ //标准输出 黑屏幕 //左移操作符 在C++里面 功能的增强,即C++语言操作符重载 //endl \n //打印到屏幕并回车换行 cout<&l...

2019-03-05 00:06:13 115

转载 嵌入式软件工程师经典面试题

1. 用预处理指令#define 声明一个常数,用以表明1年中有多少秒(忽略闰年问题)#define SECONDS_PER_YEAR (60 * 60 * 24 * 365)UL1我在这想看到几件事情:1). #define 语法的基本知识(例如:不能以分号结束,括号的使用,等等)2). 懂得预处理器将为你计算常数表达式的值,因此,直接写出你是如何计算一年中有多少秒而不是计算出...

2019-03-04 19:42:24 1223

转载 面试题:最常见最有可能考到的C语言面试题汇总

1. 用预处理指令#define声明一个常数,用以表明1年中有多少秒(忽略闰年问题)#defineSECONDS_PER_YEAR (60 * 60 * 24 * 365)UL(无符号长整型)2. 写一个“标准”宏MIN,这个宏输入两个参数并返回较小的一个。#defineMIN(A,B) ((A) <= (B)? (A) : (B))4. 嵌入式系统中经常要用到无限循环...

2019-03-04 11:43:16 476

转载 C语言逗号表达式

c语言提供一种特殊的运算符,逗号运算符,优先级别最低,它将两式联接起来,如:(3+5,6+8)称为逗号表达式,其求解过程先表达式1,后表达式2,整个表达式值是表达式2的值,如:(3+5,6+8)的值是14,(a=3*5,a*4)的值是60,原因在于赋值运算优先级高于逗号表达式。逗号表达式的要领:1.从左到右逐个计算;2.逗号表达式作为一个整体,它的值为最后一个表达式的值;3.逗号...

2019-03-04 11:24:36 873 1

转载 函数可重入性及编写规范

一、可重入函数1)什么是可重入性?可重入(reentrant)函数可以由多于一个任务并发使用,而不必担心数据错误。相反, 不可重入(non-reentrant)函数不能由超过一个任务所共享,除非能确保函数的互斥(或者使用信号量,或者在代码的关键部分禁用中断)。可重入函数可以在任意时刻被中断,稍后再继续运行,不会丢失数据。可重入函数要么使用本地变量,要么在使用全局变量时保护自己的数据。2)可...

2019-03-03 21:50:36 674

转载 ISO的七层模型是什么?tcp/udp属于哪一层?tcp/udp有哪些优缺点?tcp/udp的使用场合?PPP协议属于哪一层协议?

1、OSI的七层模型是什么?ISO于1978年开发的一套标准架构ISO模型,被引用来说明数据通信协议的结构和功能。OSI在功能上可以划分为两组:网络群组:物理层、数据链路层、网络层使用者群组:传输层、会话层、表示层、应用层-------------------------------------------------------------------------------...

2019-03-03 21:15:09 2101

转载 int int*分别占多少字节

先说指针,指针不同于普通变量,存的是地址,指针的范围只和机器字和系统有关在32位系统中,所有指针都是4B(0x00000000~0xFFFFFFFF)在64位系统中,所有指针都是8Bchar 1Bint 4B(16位机为2B)Float 4double 8...

2019-03-03 20:18:03 3535

原创 C语言面试题

1.请问这种定义结构体正确吗?如果有问题,问题在哪儿。struct a { int x; char y; struct a z; struct a *p;}答:错误有两点。1.不能在结构体声明中定义结构体变量(struct a z);2.}后面没有加分号(;).以下为正确做法。struct a { int x; char y;...

2019-03-03 19:53:37 280

原创 STM32定时器中IC,OC

IC是输入捕捉,对于管脚来说是输入,可以设定为上升沿捕捉、下降沿捕捉、跳变沿捕捉(上升沿、下降沿都捕捉)。通常我们用这个来计算输入脉冲的周期和占空比。以计算脉冲的周期为例,先设定定时器的时钟,然后设定上升沿捕捉,并设定捕捉中断。当脉冲上升沿到来时,进入中断,在中断中读取当前定时器时钟的读数,减去上一次定时器的读数,即为脉冲的周期。OC是输出比较,对于管脚来说是输出。可以设定为到计数输出高电平或者...

2019-03-01 23:31:47 3901 1

Proteus PCB

如何用Proteus制作PCB,效果不错相当给力

2014-06-08

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除