CAN 通信协议

CAN 概述

CAN 是Controller Area Network 的缩写(以下称为CAN),它的设计目标是以最小的CPU负荷来高效处理大量的报文。1986 年德国电气商BOSCH公司开发出面向汽车的CAN 通信协议。此后,CAN 通过ISO11898 及ISO11519 进行了标准化,现在在欧洲已是汽车网络的标准协议。现在,CAN 的高性能和可靠性已被认同,并被广泛地应用于工业自动化、船舶、医疗设备、工业设备等方面。

CAN 网络拓扑结构

CAN 总线由两条差分线 CANH 和 CANL 组成,各个节点通过较短的支线接入 CAN 总线。各节点从通信协议而言是没有主从和地址区分的,每个节点均可以平等的收发数据。另外,在CAN 总线的两端各有一个 120Ω的终端电阻,来做阻抗匹配,以减少回波反射。
在这里插入图片描述

CAN 总线物理层特性

显性电平对应逻辑“0”,CANH 和 CANL 压差 2.5V 左右。
而隐性电平对应逻辑“1”,CANH和 CANL 压差为 0V。
在总线上,显性电平具有优先权,只要有一个节点输出显性电平,总线上即为显性电平。而隐形电平则具有包容的意味,只有所有的节点都输出隐性电平,总线上才为隐性电平。
在这里插入图片描述

帧类型

CAN 包含了以下 5 种帧类型。其中数据帧远程帧由用户控制收发;错误帧、过载帧和间
隔帧是 CAN 总线上各节点硬件根据对应状态发送,用户不能也无需控制。

帧类型描述
数据帧数据帧携带数据从发送节点至接收节点
远程帧某节点发出远程帧,请求其他节点发送具有同一识别符的数据帧
错误帧任何节点检测到一总线错误就发出错误帧
过载帧过载帧用以在先行的和后续的数据帧(或远程帧)之间提供一附加的延时
间隔帧又称帧间间隔,用于将上述各种类型的帧隔开

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

0 <= N <= 8
SOF = Start of frame
ID = Identifier
RTR = Remote transmission request
IDE = Identifier extension bit
r0 = Reserved bit
DLC = Data length code
CRC = Cyclic redundancy code
Error flag: 6 dominant bits if error active; else, 6 recessive bits.
Suspend transmission: Only applies to error passive node.
EOF = End of frame
ACK = Acknowledge bit

数据帧

标准帧

一帧标准数据帧包含如下部分:

  • 帧起始: 为 1bit 显性位。由于 CAN 总线空闲时是隐性电平,帧起始的显性位用于提示总线上的节点“一帧信息传输开始了”。
  • 仲裁段: 表示该帧优先级的段,包含标识符和帧类型(数据/远程帧)。
  • 控制段: 表示数据的字节数、标识符类型(标准/扩展标识符)及保留位的段。
  • 数据段: 数据,一帧可发送 0~8 个字节的数据(数据长度根据控制段的 DLC 决定)。
  • CRC 段: 发送节点将 CRC 计算区域(不包含填充位)进行 CRC 计算后放入 CRC 段发送。接收节点也对 CRC 计算区域进行 CRC 计算,并与收到的 CRC 域进行对比,若 CRC 对比结果有误则向总线发送错误帧,若对比结果正确则随后发送应答。
  • ACK 段: 含应答位(ACK SLOT)和应答间隔符(ACK DELIMITER)。发送节点在 ACK 段均发送隐性电平;接收节点如果在接收过程中没有检测到错误,则在应答位输出 1bit 显性电平,以通知发送节点“这帧数据被正确的接收了”。
  • 帧结束: 表示数据帧结束的段,为 7bit 隐性电平。
    在这里插入图片描述

检测到位流里有 5 个连续相同值的位,便自动在位流里插入一相反电平的填充位。位填充的范围为帧起始(SOF)~CRC 域(不含 CRC 间隔符)。
例如,原始数据流为“0000000111110001”,经过位填充后实际输出到 CAN 总线的数据流为“000001001111100001”。

标准数据帧示例

测试代码

  uint8_t transmit_mailbox;
  can_tx_message_type tx_message_struct;
  tx_message_struct.standard_id = 0x400;                             /* 设置发送数据帧的 ID=0x400 */
  tx_message_struct.extended_id = 0;                                 /* 扩展标识符:标准帧不启用 */
  tx_message_struct.id_type = CAN_ID_STANDARD;                       /* 发送数据帧类型(标准/扩展):标准数据帧*/
  tx_message_struct.frame_type = CAN_TFT_DATA;                       /* 发送帧类型(远程/数据):数据帧 */
  tx_message_struct.dlc = 4;                                         /* 发送数据长度(0~8):8 */
  tx_message_struct.data[0] = 0x01;                                  /* 第 1byte 数据:0x11 */
  tx_message_struct.data[1] = 0x02;                                  /* 第 2byte 数据:0x22 */
  tx_message_struct.data[2] = 0x03;                                  /* 第 3byte 数据:0x33 */
  tx_message_struct.data[3] = 0x04;                                  /* 第 4byte 数据:0x44 */
  transmit_mailbox = can_message_transmit(CAN1, &tx_message_struct); /* 将以上待发送报文写入发送邮箱并请求发送 */
  while (can_transmit_status_get(CAN1, (can_tx_mailbox_num_type)transmit_mailbox) != CAN_TX_STATUS_SUCCESSFUL)
    ; /* 等待该邮箱发送成功—对应邮箱发送成功标志置起 */

CAN数据收发器接收:
在这里插入图片描述
CAN协议数据分析:
在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
FPGA(Field Programmable Gate Array)是一种可编程逻辑设备,可以在硬件级别上定义和重新配置数字逻辑电路。CAN(Controller Area Network)是一种常用的网络通信协议,广泛应用于汽车和工业领域。 FPGA实现CAN通信协议的过程主要分为两个步骤:硬件设计和软件开发。 在硬件设计方面,首先需要将CAN协议的控制器部分实现在FPGA中。控制器负责管理发送和接收CAN消息的过程。它包括了CAN协议的帧解析、错误检测和错误处理等功能。这些功能需要通过FPGA的逻辑电路来实现。根据CAN协议的规范,可以使用状态机设计来描述控制器的不同工作状态,并通过逻辑电路来实现状态转换和相关功能逻辑。 在软件开发方面,需要编写控制器的驱动程序。驱动程序可以通过FPGA的配置界面进行编程,与硬件部分进行通信,控制CAN消息的发送和接收。驱动程序还需提供CAN消息的解析和打包的功能,以及与其他设备(如处理器或外部存储器)进行数据传输的接口。 在实现CAN通信协议时,还需要考虑到数据的实时性和可靠性。数据的实时性是指在CAN总线上传输的数据时序要符合CAN协议要求,以确保数据的及时性和准确性。数据的可靠性则是指要进行错误检测和纠正,以防止数据传输过程中的错误。 总之,FPGA实现CAN通信协议涉及硬件和软件两个方面的设计和开发工作。通过将CAN协议的控制器部分实现在FPGA中,以及编写相关的驱动程序,可以实现高性能、可靠的CAN通信功能。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

盗版摩羯

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值