STC8H8K64U——独立键盘扫描

独立键盘扫描

设8个独立按键,每个按键按下后,分别显示数字1~9。
按键松开后,仍显原数字。

#include <STC8H.H>

#define OUTPUT P0
#define INPUT P1

/*3——8译码器*/
sbit A0 = P2^2;
sbit A1 = P2^3;
sbit A2 = P2^4;

unsigned char Key_Flage = 0;  //按键按下标志      

unsigned char code LED_7SEG_AN[10] = {0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};     //共阳极数码管0~9
unsigned char code LED_7SEG_CAT[10] = {0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};    //共阴极数码管0~9

void key_scan (void)
{
	unsigned char KeyValue;     
	do
	{
		INPUT = 0xff;             //预先置一
		KeyValue = INPUT;         //读取P3口数据
		KeyValue = ~KeyValue;
		switch(KeyValue)
		{
			case 1:   Key_Flage = 1; break;
			case 2:   Key_Flage = 2; break;
			case 4:   Key_Flage = 3; break;
			case 8:   Key_Flage = 4; break;
			case 16:  Key_Flage = 5; break;
			case 32:  Key_Flage = 6; break;
			case 64:  Key_Flage = 7; break;
			case 128: Key_Flage = 8; break;
			default:  Key_Flage = 0; break;
		}
	}while(Key_Flage == 0);		
}

void main (void)
{
/*P1 准双向口模式*/	
	P1M0 = 0x00;
	P1M1 = 0x00;
/*P2 准双向口模式*/
	P2M0 = 0x00;
	P2M1 = 0x00;	
/*P0 准双向口模式*/
	P0M0 = 0x00;
	P0M1 = 0x00;
	A0 = 0;
	A1 = 0;
	A2 = 0;	
	while(1)
	{
		key_scan();
		OUTPUT = LED_7SEG_CAT[Key_Flage];
	}
}

key

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

往昔的恒纳兰那

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值